(* techmap_cellteype = "$mul" *) module $mul(A, B, Y); parameter A_SIGNED = 0; parameter B_SIGNED = 0; parameter A_WIDTH = 4; parameter B_WIDTH = 4; parameter Y_WIDTH = 4; input [A_WIDTH-1:0] A; input [B_WIDTH-1:0] B; output [Y_WIDTH-1:0] Y; foobar #( .A_SIGNED(B_SIGNED), .B_SIGNED(A_SIGNED), .A_WIDTH(B_WIDTH), .B_WIDTH(A_WIDTH), .Y_WIDTH(Y_WIDTH) ) _TECHMAP_REPLACE_.baz ( .A(B), .B(A), .Y(Y) ); wire [1023:0] _TECHMAP_DO_00 = "log fklj;;"; wire _TECHMAP_FAIL_ = 0; endmodule