Implement a secure ICS protocol targeting LoRa Node151 microcontroller for controlling irrigation.
25'ten fazla konu seçemezsiniz Konular bir harf veya rakamla başlamalı, kısa çizgiler ('-') içerebilir ve en fazla 35 karakter uzunluğunda olabilir.
 
 
 
 
 
 

2220 satır
106 KiB

  1. # https://en.wikipedia.org/wiki/8b/10b_encoding
  2. import re
  3. class ControlSymbol(int):
  4. def __repr__(self):
  5. return 'ControlSymbol(%#x)' % self
  6. class EncDec8B10B(object):
  7. # Table 36-3 of 802.3-2018 has symbols for 1000Base-X coding
  8. COMMA = ControlSymbol(0xbc)
  9. COMMA_ALTA = ControlSymbol(0x3c)
  10. COMMA_ALTB = ControlSymbol(0xfc)
  11. K_28_0 = ControlSymbol(0x1c)
  12. K_28_2 = ControlSymbol(0x5c)
  13. K_28_3 = ControlSymbol(0x7c)
  14. K_28_4 = ControlSymbol(0x9c)
  15. K_28_6 = ControlSymbol(0xdc)
  16. K_23_7 = ControlSymbol(0xf7)
  17. K_27_7 = ControlSymbol(0xfb)
  18. K_29_7 = ControlSymbol(0xfd)
  19. K_30_7 = ControlSymbol(0xfe)
  20. # Where disparity of 0 means more 0's, 1 means more 1's
  21. #
  22. # index of lookup strings:
  23. # <ctrl><disparity><data>
  24. #
  25. # data is lowest/rightmost bit maps to A, and high bit is H
  26. #
  27. # format of data:
  28. # <newdisparity><data>
  29. # data is lowest/rightmost bit transmitted first
  30. #
  31. # comment of each line is:
  32. # # "<data bitstring>" <start disp><encoding><ending> [<dec val>]
  33. enc_lookup = [
  34. "00010111001", # "00000000" -D00.0- [0]
  35. "00010101110", # "00000001" -D01.0- [1]
  36. "00010101101", # "00000010" -D02.0- [2]
  37. "11101100011", # "00000011" -D03.0+ [3]
  38. "00010101011", # "00000100" -D04.0- [4]
  39. "11101100101", # "00000101" -D05.0+ [5]
  40. "11101100110", # "00000110" -D06.0+ [6]
  41. "11101000111", # "00000111" -D07.0+ [7]
  42. "00010100111", # "00001000" -D08.0- [8]
  43. "11101101001", # "00001001" -D09.0+ [9]
  44. "11101101010", # "00001010" -D10.0+ [10]
  45. "11101001011", # "00001011" -D11.0+ [11]
  46. "11101101100", # "00001100" -D12.0+ [12]
  47. "11101001101", # "00001101" -D13.0+ [13]
  48. "11101001110", # "00001110" -D14.0+ [14]
  49. "00010111010", # "00001111" -D15.0- [15]
  50. "00010110110", # "00010000" -D16.0- [16]
  51. "11101110001", # "00010001" -D17.0+ [17]
  52. "11101110010", # "00010010" -D18.0+ [18]
  53. "11101010011", # "00010011" -D19.0+ [19]
  54. "11101110100", # "00010100" -D20.0+ [20]
  55. "11101010101", # "00010101" -D21.0+ [21]
  56. "11101010110", # "00010110" -D22.0+ [22]
  57. "00010010111", # "00010111" -D23.0- [23]
  58. "00010110011", # "00011000" -D24.0- [24]
  59. "11101011001", # "00011001" -D25.0+ [25]
  60. "11101011010", # "00011010" -D26.0+ [26]
  61. "00010011011", # "00011011" -D27.0- [27]
  62. "11101011100", # "00011100" -D28.0+ [28]
  63. "00010011101", # "00011101" -D29.0- [29]
  64. "00010011110", # "00011110" -D30.0- [30]
  65. "00010110101", # "00011111" -D31.0- [31]
  66. "11001111001", # "00100000" -D00.1+ [32]
  67. "11001101110", # "00100001" -D01.1+ [33]
  68. "11001101101", # "00100010" -D02.1+ [34]
  69. "01001100011", # "00100011" -D03.1- [35]
  70. "11001101011", # "00100100" -D04.1+ [36]
  71. "01001100101", # "00100101" -D05.1- [37]
  72. "01001100110", # "00100110" -D06.1- [38]
  73. "01001000111", # "00100111" -D07.1- [39]
  74. "11001100111", # "00101000" -D08.1+ [40]
  75. "01001101001", # "00101001" -D09.1- [41]
  76. "01001101010", # "00101010" -D10.1- [42]
  77. "01001001011", # "00101011" -D11.1- [43]
  78. "01001101100", # "00101100" -D12.1- [44]
  79. "01001001101", # "00101101" -D13.1- [45]
  80. "01001001110", # "00101110" -D14.1- [46]
  81. "11001111010", # "00101111" -D15.1+ [47]
  82. "11001110110", # "00110000" -D16.1+ [48]
  83. "01001110001", # "00110001" -D17.1- [49]
  84. "01001110010", # "00110010" -D18.1- [50]
  85. "01001010011", # "00110011" -D19.1- [51]
  86. "01001110100", # "00110100" -D20.1- [52]
  87. "01001010101", # "00110101" -D21.1- [53]
  88. "01001010110", # "00110110" -D22.1- [54]
  89. "11001010111", # "00110111" -D23.1+ [55]
  90. "11001110011", # "00111000" -D24.1+ [56]
  91. "01001011001", # "00111001" -D25.1- [57]
  92. "01001011010", # "00111010" -D26.1- [58]
  93. "11001011011", # "00111011" -D27.1+ [59]
  94. "01001011100", # "00111100" -D28.1- [60]
  95. "11001011101", # "00111101" -D29.1+ [61]
  96. "11001011110", # "00111110" -D30.1+ [62]
  97. "11001110101", # "00111111" -D31.1+ [63]
  98. "11010111001", # "01000000" -D00.2+ [64]
  99. "11010101110", # "01000001" -D01.2+ [65]
  100. "11010101101", # "01000010" -D02.2+ [66]
  101. "01010100011", # "01000011" -D03.2- [67]
  102. "11010101011", # "01000100" -D04.2+ [68]
  103. "01010100101", # "01000101" -D05.2- [69]
  104. "01010100110", # "01000110" -D06.2- [70]
  105. "01010000111", # "01000111" -D07.2- [71]
  106. "11010100111", # "01001000" -D08.2+ [72]
  107. "01010101001", # "01001001" -D09.2- [73]
  108. "01010101010", # "01001010" -D10.2- [74]
  109. "01010001011", # "01001011" -D11.2- [75]
  110. "01010101100", # "01001100" -D12.2- [76]
  111. "01010001101", # "01001101" -D13.2- [77]
  112. "01010001110", # "01001110" -D14.2- [78]
  113. "11010111010", # "01001111" -D15.2+ [79]
  114. "11010110110", # "01010000" -D16.2+ [80]
  115. "01010110001", # "01010001" -D17.2- [81]
  116. "01010110010", # "01010010" -D18.2- [82]
  117. "01010010011", # "01010011" -D19.2- [83]
  118. "01010110100", # "01010100" -D20.2- [84]
  119. "01010010101", # "01010101" -D21.2- [85]
  120. "01010010110", # "01010110" -D22.2- [86]
  121. "11010010111", # "01010111" -D23.2+ [87]
  122. "11010110011", # "01011000" -D24.2+ [88]
  123. "01010011001", # "01011001" -D25.2- [89]
  124. "01010011010", # "01011010" -D26.2- [90]
  125. "11010011011", # "01011011" -D27.2+ [91]
  126. "01010011100", # "01011100" -D28.2- [92]
  127. "11010011101", # "01011101" -D29.2+ [93]
  128. "11010011110", # "01011110" -D30.2+ [94]
  129. "11010110101", # "01011111" -D31.2+ [95]
  130. "11100111001", # "01100000" -D00.3+ [96]
  131. "11100101110", # "01100001" -D01.3+ [97]
  132. "11100101101", # "01100010" -D02.3+ [98]
  133. "00011100011", # "01100011" -D03.3- [99]
  134. "11100101011", # "01100100" -D04.3+ [100]
  135. "00011100101", # "01100101" -D05.3- [101]
  136. "00011100110", # "01100110" -D06.3- [102]
  137. "00011000111", # "01100111" -D07.3- [103]
  138. "11100100111", # "01101000" -D08.3+ [104]
  139. "00011101001", # "01101001" -D09.3- [105]
  140. "00011101010", # "01101010" -D10.3- [106]
  141. "00011001011", # "01101011" -D11.3- [107]
  142. "00011101100", # "01101100" -D12.3- [108]
  143. "00011001101", # "01101101" -D13.3- [109]
  144. "00011001110", # "01101110" -D14.3- [110]
  145. "11100111010", # "01101111" -D15.3+ [111]
  146. "11100110110", # "01110000" -D16.3+ [112]
  147. "00011110001", # "01110001" -D17.3- [113]
  148. "00011110010", # "01110010" -D18.3- [114]
  149. "00011010011", # "01110011" -D19.3- [115]
  150. "00011110100", # "01110100" -D20.3- [116]
  151. "00011010101", # "01110101" -D21.3- [117]
  152. "00011010110", # "01110110" -D22.3- [118]
  153. "11100010111", # "01110111" -D23.3+ [119]
  154. "11100110011", # "01111000" -D24.3+ [120]
  155. "00011011001", # "01111001" -D25.3- [121]
  156. "00011011010", # "01111010" -D26.3- [122]
  157. "11100011011", # "01111011" -D27.3+ [123]
  158. "00011011100", # "01111100" -D28.3- [124]
  159. "11100011101", # "01111101" -D29.3+ [125]
  160. "11100011110", # "01111110" -D30.3+ [126]
  161. "11100110101", # "01111111" -D31.3+ [127]
  162. "00100111001", # "10000000" -D00.4- [128]
  163. "00100101110", # "10000001" -D01.4- [129]
  164. "00100101101", # "10000010" -D02.4- [130]
  165. "11011100011", # "10000011" -D03.4+ [131]
  166. "00100101011", # "10000100" -D04.4- [132]
  167. "11011100101", # "10000101" -D05.4+ [133]
  168. "11011100110", # "10000110" -D06.4+ [134]
  169. "11011000111", # "10000111" -D07.4+ [135]
  170. "00100100111", # "10001000" -D08.4- [136]
  171. "11011101001", # "10001001" -D09.4+ [137]
  172. "11011101010", # "10001010" -D10.4+ [138]
  173. "11011001011", # "10001011" -D11.4+ [139]
  174. "11011101100", # "10001100" -D12.4+ [140]
  175. "11011001101", # "10001101" -D13.4+ [141]
  176. "11011001110", # "10001110" -D14.4+ [142]
  177. "00100111010", # "10001111" -D15.4- [143]
  178. "00100110110", # "10010000" -D16.4- [144]
  179. "11011110001", # "10010001" -D17.4+ [145]
  180. "11011110010", # "10010010" -D18.4+ [146]
  181. "11011010011", # "10010011" -D19.4+ [147]
  182. "11011110100", # "10010100" -D20.4+ [148]
  183. "11011010101", # "10010101" -D21.4+ [149]
  184. "11011010110", # "10010110" -D22.4+ [150]
  185. "00100010111", # "10010111" -D23.4- [151]
  186. "00100110011", # "10011000" -D24.4- [152]
  187. "11011011001", # "10011001" -D25.4+ [153]
  188. "11011011010", # "10011010" -D26.4+ [154]
  189. "00100011011", # "10011011" -D27.4- [155]
  190. "11011011100", # "10011100" -D28.4+ [156]
  191. "00100011101", # "10011101" -D29.4- [157]
  192. "00100011110", # "10011110" -D30.4- [158]
  193. "00100110101", # "10011111" -D31.4- [159]
  194. "10101111001", # "10100000" -D00.5+ [160]
  195. "10101101110", # "10100001" -D01.5+ [161]
  196. "10101101101", # "10100010" -D02.5+ [162]
  197. "00101100011", # "10100011" -D03.5- [163]
  198. "10101101011", # "10100100" -D04.5+ [164]
  199. "00101100101", # "10100101" -D05.5- [165]
  200. "00101100110", # "10100110" -D06.5- [166]
  201. "00101000111", # "10100111" -D07.5- [167]
  202. "10101100111", # "10101000" -D08.5+ [168]
  203. "00101101001", # "10101001" -D09.5- [169]
  204. "00101101010", # "10101010" -D10.5- [170]
  205. "00101001011", # "10101011" -D11.5- [171]
  206. "00101101100", # "10101100" -D12.5- [172]
  207. "00101001101", # "10101101" -D13.5- [173]
  208. "00101001110", # "10101110" -D14.5- [174]
  209. "10101111010", # "10101111" -D15.5+ [175]
  210. "10101110110", # "10110000" -D16.5+ [176]
  211. "00101110001", # "10110001" -D17.5- [177]
  212. "00101110010", # "10110010" -D18.5- [178]
  213. "00101010011", # "10110011" -D19.5- [179]
  214. "00101110100", # "10110100" -D20.5- [180]
  215. "00101010101", # "10110101" -D21.5- [181]
  216. "00101010110", # "10110110" -D22.5- [182]
  217. "10101010111", # "10110111" -D23.5+ [183]
  218. "10101110011", # "10111000" -D24.5+ [184]
  219. "00101011001", # "10111001" -D25.5- [185]
  220. "00101011010", # "10111010" -D26.5- [186]
  221. "10101011011", # "10111011" -D27.5+ [187]
  222. "00101011100", # "10111100" -D28.5- [188]
  223. "10101011101", # "10111101" -D29.5+ [189]
  224. "10101011110", # "10111110" -D30.5+ [190]
  225. "10101110101", # "10111111" -D31.5+ [191]
  226. "10110111001", # "11000000" -D00.6+ [192]
  227. "10110101110", # "11000001" -D01.6+ [193]
  228. "10110101101", # "11000010" -D02.6+ [194]
  229. "00110100011", # "11000011" -D03.6- [195]
  230. "10110101011", # "11000100" -D04.6+ [196]
  231. "00110100101", # "11000101" -D05.6- [197]
  232. "00110100110", # "11000110" -D06.6- [198]
  233. "00110000111", # "11000111" -D07.6- [199]
  234. "10110100111", # "11001000" -D08.6+ [200]
  235. "00110101001", # "11001001" -D09.6- [201]
  236. "00110101010", # "11001010" -D10.6- [202]
  237. "00110001011", # "11001011" -D11.6- [203]
  238. "00110101100", # "11001100" -D12.6- [204]
  239. "00110001101", # "11001101" -D13.6- [205]
  240. "00110001110", # "11001110" -D14.6- [206]
  241. "10110111010", # "11001111" -D15.6+ [207]
  242. "10110110110", # "11010000" -D16.6+ [208]
  243. "00110110001", # "11010001" -D17.6- [209]
  244. "00110110010", # "11010010" -D18.6- [210]
  245. "00110010011", # "11010011" -D19.6- [211]
  246. "00110110100", # "11010100" -D20.6- [212]
  247. "00110010101", # "11010101" -D21.6- [213]
  248. "00110010110", # "11010110" -D22.6- [214]
  249. "10110010111", # "11010111" -D23.6+ [215]
  250. "10110110011", # "11011000" -D24.6+ [216]
  251. "00110011001", # "11011001" -D25.6- [217]
  252. "00110011010", # "11011010" -D26.6- [218]
  253. "10110011011", # "11011011" -D27.6+ [219]
  254. "00110011100", # "11011100" -D28.6- [220]
  255. "10110011101", # "11011101" -D29.6+ [221]
  256. "10110011110", # "11011110" -D30.6+ [222]
  257. "10110110101", # "11011111" -D31.6+ [223]
  258. "01000111001", # "11100000" -D00.7- [224]
  259. "01000101110", # "11100001" -D01.7- [225]
  260. "01000101101", # "11100010" -D02.7- [226]
  261. "10111100011", # "11100011" -D03.7+ [227]
  262. "01000101011", # "11100100" -D04.7- [228]
  263. "10111100101", # "11100101" -D05.7+ [229]
  264. "10111100110", # "11100110" -D06.7+ [230]
  265. "10111000111", # "11100111" -D07.7+ [231]
  266. "01000100111", # "11101000" -D08.7- [232]
  267. "10111101001", # "11101001" -D09.7+ [233]
  268. "10111101010", # "11101010" -D10.7+ [234]
  269. "10111001011", # "11101011" -D11.7+ [235]
  270. "10111101100", # "11101100" -D12.7+ [236]
  271. "10111001101", # "11101101" -D13.7+ [237]
  272. "10111001110", # "11101110" -D14.7+ [238]
  273. "01000111010", # "11101111" -D15.7- [239]
  274. "01000110110", # "11110000" -D16.7- [240]
  275. "11110110001", # "11110001" -D17.7+ [241]
  276. "11110110010", # "11110010" -D18.7+ [242]
  277. "10111010011", # "11110011" -D19.7+ [243]
  278. "11110110100", # "11110100" -D20.7+ [244]
  279. "10111010101", # "11110101" -D21.7+ [245]
  280. "10111010110", # "11110110" -D22.7+ [246]
  281. "01000010111", # "11110111" -D23.7- [247]
  282. "01000110011", # "11111000" -D24.7- [248]
  283. "10111011001", # "11111001" -D25.7+ [249]
  284. "10111011010", # "11111010" -D26.7+ [250]
  285. "01000011011", # "11111011" -D27.7- [251]
  286. "10111011100", # "11111100" -D28.7+ [252]
  287. "01000011101", # "11111101" -D29.7- [253]
  288. "01000011110", # "11111110" -D30.7- [254]
  289. "01000110101", # "11111111" -D31.7- [255]
  290. "11101000110", # "00000000" +D00.0+ [256]
  291. "11101010001", # "00000001" +D01.0+ [257]
  292. "11101010010", # "00000010" +D02.0+ [258]
  293. "00010100011", # "00000011" +D03.0- [259]
  294. "11101010100", # "00000100" +D04.0+ [260]
  295. "00010100101", # "00000101" +D05.0- [261]
  296. "00010100110", # "00000110" +D06.0- [262]
  297. "00010111000", # "00000111" +D07.0- [263]
  298. "11101011000", # "00001000" +D08.0+ [264]
  299. "00010101001", # "00001001" +D09.0- [265]
  300. "00010101010", # "00001010" +D10.0- [266]
  301. "00010001011", # "00001011" +D11.0- [267]
  302. "00010101100", # "00001100" +D12.0- [268]
  303. "00010001101", # "00001101" +D13.0- [269]
  304. "00010001110", # "00001110" +D14.0- [270]
  305. "11101000101", # "00001111" +D15.0+ [271]
  306. "11101001001", # "00010000" +D16.0+ [272]
  307. "00010110001", # "00010001" +D17.0- [273]
  308. "00010110010", # "00010010" +D18.0- [274]
  309. "00010010011", # "00010011" +D19.0- [275]
  310. "00010110100", # "00010100" +D20.0- [276]
  311. "00010010101", # "00010101" +D21.0- [277]
  312. "00010010110", # "00010110" +D22.0- [278]
  313. "11101101000", # "00010111" +D23.0+ [279]
  314. "11101001100", # "00011000" +D24.0+ [280]
  315. "00010011001", # "00011001" +D25.0- [281]
  316. "00010011010", # "00011010" +D26.0- [282]
  317. "11101100100", # "00011011" +D27.0+ [283]
  318. "00010011100", # "00011100" +D28.0- [284]
  319. "11101100010", # "00011101" +D29.0+ [285]
  320. "11101100001", # "00011110" +D30.0+ [286]
  321. "11101001010", # "00011111" +D31.0+ [287]
  322. "01001000110", # "00100000" +D00.1- [288]
  323. "01001010001", # "00100001" +D01.1- [289]
  324. "01001010010", # "00100010" +D02.1- [290]
  325. "11001100011", # "00100011" +D03.1+ [291]
  326. "01001010100", # "00100100" +D04.1- [292]
  327. "11001100101", # "00100101" +D05.1+ [293]
  328. "11001100110", # "00100110" +D06.1+ [294]
  329. "11001111000", # "00100111" +D07.1+ [295]
  330. "01001011000", # "00101000" +D08.1- [296]
  331. "11001101001", # "00101001" +D09.1+ [297]
  332. "11001101010", # "00101010" +D10.1+ [298]
  333. "11001001011", # "00101011" +D11.1+ [299]
  334. "11001101100", # "00101100" +D12.1+ [300]
  335. "11001001101", # "00101101" +D13.1+ [301]
  336. "11001001110", # "00101110" +D14.1+ [302]
  337. "01001000101", # "00101111" +D15.1- [303]
  338. "01001001001", # "00110000" +D16.1- [304]
  339. "11001110001", # "00110001" +D17.1+ [305]
  340. "11001110010", # "00110010" +D18.1+ [306]
  341. "11001010011", # "00110011" +D19.1+ [307]
  342. "11001110100", # "00110100" +D20.1+ [308]
  343. "11001010101", # "00110101" +D21.1+ [309]
  344. "11001010110", # "00110110" +D22.1+ [310]
  345. "01001101000", # "00110111" +D23.1- [311]
  346. "01001001100", # "00111000" +D24.1- [312]
  347. "11001011001", # "00111001" +D25.1+ [313]
  348. "11001011010", # "00111010" +D26.1+ [314]
  349. "01001100100", # "00111011" +D27.1- [315]
  350. "11001011100", # "00111100" +D28.1+ [316]
  351. "01001100010", # "00111101" +D29.1- [317]
  352. "01001100001", # "00111110" +D30.1- [318]
  353. "01001001010", # "00111111" +D31.1- [319]
  354. "01010000110", # "01000000" +D00.2- [320]
  355. "01010010001", # "01000001" +D01.2- [321]
  356. "01010010010", # "01000010" +D02.2- [322]
  357. "11010100011", # "01000011" +D03.2+ [323]
  358. "01010010100", # "01000100" +D04.2- [324]
  359. "11010100101", # "01000101" +D05.2+ [325]
  360. "11010100110", # "01000110" +D06.2+ [326]
  361. "11010111000", # "01000111" +D07.2+ [327]
  362. "01010011000", # "01001000" +D08.2- [328]
  363. "11010101001", # "01001001" +D09.2+ [329]
  364. "11010101010", # "01001010" +D10.2+ [330]
  365. "11010001011", # "01001011" +D11.2+ [331]
  366. "11010101100", # "01001100" +D12.2+ [332]
  367. "11010001101", # "01001101" +D13.2+ [333]
  368. "11010001110", # "01001110" +D14.2+ [334]
  369. "01010000101", # "01001111" +D15.2- [335]
  370. "01010001001", # "01010000" +D16.2- [336]
  371. "11010110001", # "01010001" +D17.2+ [337]
  372. "11010110010", # "01010010" +D18.2+ [338]
  373. "11010010011", # "01010011" +D19.2+ [339]
  374. "11010110100", # "01010100" +D20.2+ [340]
  375. "11010010101", # "01010101" +D21.2+ [341]
  376. "11010010110", # "01010110" +D22.2+ [342]
  377. "01010101000", # "01010111" +D23.2- [343]
  378. "01010001100", # "01011000" +D24.2- [344]
  379. "11010011001", # "01011001" +D25.2+ [345]
  380. "11010011010", # "01011010" +D26.2+ [346]
  381. "01010100100", # "01011011" +D27.2- [347]
  382. "11010011100", # "01011100" +D28.2+ [348]
  383. "01010100010", # "01011101" +D29.2- [349]
  384. "01010100001", # "01011110" +D30.2- [350]
  385. "01010001010", # "01011111" +D31.2- [351]
  386. "00011000110", # "01100000" +D00.3- [352]
  387. "00011010001", # "01100001" +D01.3- [353]
  388. "00011010010", # "01100010" +D02.3- [354]
  389. "11100100011", # "01100011" +D03.3+ [355]
  390. "00011010100", # "01100100" +D04.3- [356]
  391. "11100100101", # "01100101" +D05.3+ [357]
  392. "11100100110", # "01100110" +D06.3+ [358]
  393. "11100111000", # "01100111" +D07.3+ [359]
  394. "00011011000", # "01101000" +D08.3- [360]
  395. "11100101001", # "01101001" +D09.3+ [361]
  396. "11100101010", # "01101010" +D10.3+ [362]
  397. "11100001011", # "01101011" +D11.3+ [363]
  398. "11100101100", # "01101100" +D12.3+ [364]
  399. "11100001101", # "01101101" +D13.3+ [365]
  400. "11100001110", # "01101110" +D14.3+ [366]
  401. "00011000101", # "01101111" +D15.3- [367]
  402. "00011001001", # "01110000" +D16.3- [368]
  403. "11100110001", # "01110001" +D17.3+ [369]
  404. "11100110010", # "01110010" +D18.3+ [370]
  405. "11100010011", # "01110011" +D19.3+ [371]
  406. "11100110100", # "01110100" +D20.3+ [372]
  407. "11100010101", # "01110101" +D21.3+ [373]
  408. "11100010110", # "01110110" +D22.3+ [374]
  409. "00011101000", # "01110111" +D23.3- [375]
  410. "00011001100", # "01111000" +D24.3- [376]
  411. "11100011001", # "01111001" +D25.3+ [377]
  412. "11100011010", # "01111010" +D26.3+ [378]
  413. "00011100100", # "01111011" +D27.3- [379]
  414. "11100011100", # "01111100" +D28.3+ [380]
  415. "00011100010", # "01111101" +D29.3- [381]
  416. "00011100001", # "01111110" +D30.3- [382]
  417. "00011001010", # "01111111" +D31.3- [383]
  418. "11011000110", # "10000000" +D00.4+ [384]
  419. "11011010001", # "10000001" +D01.4+ [385]
  420. "11011010010", # "10000010" +D02.4+ [386]
  421. "00100100011", # "10000011" +D03.4- [387]
  422. "11011010100", # "10000100" +D04.4+ [388]
  423. "00100100101", # "10000101" +D05.4- [389]
  424. "00100100110", # "10000110" +D06.4- [390]
  425. "00100111000", # "10000111" +D07.4- [391]
  426. "11011011000", # "10001000" +D08.4+ [392]
  427. "00100101001", # "10001001" +D09.4- [393]
  428. "00100101010", # "10001010" +D10.4- [394]
  429. "00100001011", # "10001011" +D11.4- [395]
  430. "00100101100", # "10001100" +D12.4- [396]
  431. "00100001101", # "10001101" +D13.4- [397]
  432. "00100001110", # "10001110" +D14.4- [398]
  433. "11011000101", # "10001111" +D15.4+ [399]
  434. "11011001001", # "10010000" +D16.4+ [400]
  435. "00100110001", # "10010001" +D17.4- [401]
  436. "00100110010", # "10010010" +D18.4- [402]
  437. "00100010011", # "10010011" +D19.4- [403]
  438. "00100110100", # "10010100" +D20.4- [404]
  439. "00100010101", # "10010101" +D21.4- [405]
  440. "00100010110", # "10010110" +D22.4- [406]
  441. "11011101000", # "10010111" +D23.4+ [407]
  442. "11011001100", # "10011000" +D24.4+ [408]
  443. "00100011001", # "10011001" +D25.4- [409]
  444. "00100011010", # "10011010" +D26.4- [410]
  445. "11011100100", # "10011011" +D27.4+ [411]
  446. "00100011100", # "10011100" +D28.4- [412]
  447. "11011100010", # "10011101" +D29.4+ [413]
  448. "11011100001", # "10011110" +D30.4+ [414]
  449. "11011001010", # "10011111" +D31.4+ [415]
  450. "00101000110", # "10100000" +D00.5- [416]
  451. "00101010001", # "10100001" +D01.5- [417]
  452. "00101010010", # "10100010" +D02.5- [418]
  453. "10101100011", # "10100011" +D03.5+ [419]
  454. "00101010100", # "10100100" +D04.5- [420]
  455. "10101100101", # "10100101" +D05.5+ [421]
  456. "10101100110", # "10100110" +D06.5+ [422]
  457. "10101111000", # "10100111" +D07.5+ [423]
  458. "00101011000", # "10101000" +D08.5- [424]
  459. "10101101001", # "10101001" +D09.5+ [425]
  460. "10101101010", # "10101010" +D10.5+ [426]
  461. "10101001011", # "10101011" +D11.5+ [427]
  462. "10101101100", # "10101100" +D12.5+ [428]
  463. "10101001101", # "10101101" +D13.5+ [429]
  464. "10101001110", # "10101110" +D14.5+ [430]
  465. "00101000101", # "10101111" +D15.5- [431]
  466. "00101001001", # "10110000" +D16.5- [432]
  467. "10101110001", # "10110001" +D17.5+ [433]
  468. "10101110010", # "10110010" +D18.5+ [434]
  469. "10101010011", # "10110011" +D19.5+ [435]
  470. "10101110100", # "10110100" +D20.5+ [436]
  471. "10101010101", # "10110101" +D21.5+ [437]
  472. "10101010110", # "10110110" +D22.5+ [438]
  473. "00101101000", # "10110111" +D23.5- [439]
  474. "00101001100", # "10111000" +D24.5- [440]
  475. "10101011001", # "10111001" +D25.5+ [441]
  476. "10101011010", # "10111010" +D26.5+ [442]
  477. "00101100100", # "10111011" +D27.5- [443]
  478. "10101011100", # "10111100" +D28.5+ [444]
  479. "00101100010", # "10111101" +D29.5- [445]
  480. "00101100001", # "10111110" +D30.5- [446]
  481. "00101001010", # "10111111" +D31.5- [447]
  482. "00110000110", # "11000000" +D00.6- [448]
  483. "00110010001", # "11000001" +D01.6- [449]
  484. "00110010010", # "11000010" +D02.6- [450]
  485. "10110100011", # "11000011" +D03.6+ [451]
  486. "00110010100", # "11000100" +D04.6- [452]
  487. "10110100101", # "11000101" +D05.6+ [453]
  488. "10110100110", # "11000110" +D06.6+ [454]
  489. "10110111000", # "11000111" +D07.6+ [455]
  490. "00110011000", # "11001000" +D08.6- [456]
  491. "10110101001", # "11001001" +D09.6+ [457]
  492. "10110101010", # "11001010" +D10.6+ [458]
  493. "10110001011", # "11001011" +D11.6+ [459]
  494. "10110101100", # "11001100" +D12.6+ [460]
  495. "10110001101", # "11001101" +D13.6+ [461]
  496. "10110001110", # "11001110" +D14.6+ [462]
  497. "00110000101", # "11001111" +D15.6- [463]
  498. "00110001001", # "11010000" +D16.6- [464]
  499. "10110110001", # "11010001" +D17.6+ [465]
  500. "10110110010", # "11010010" +D18.6+ [466]
  501. "10110010011", # "11010011" +D19.6+ [467]
  502. "10110110100", # "11010100" +D20.6+ [468]
  503. "10110010101", # "11010101" +D21.6+ [469]
  504. "10110010110", # "11010110" +D22.6+ [470]
  505. "00110101000", # "11010111" +D23.6- [471]
  506. "00110001100", # "11011000" +D24.6- [472]
  507. "10110011001", # "11011001" +D25.6+ [473]
  508. "10110011010", # "11011010" +D26.6+ [474]
  509. "00110100100", # "11011011" +D27.6- [475]
  510. "10110011100", # "11011100" +D28.6+ [476]
  511. "00110100010", # "11011101" +D29.6- [477]
  512. "00110100001", # "11011110" +D30.6- [478]
  513. "00110001010", # "11011111" +D31.6- [479]
  514. "10111000110", # "11100000" +D00.7+ [480]
  515. "10111010001", # "11100001" +D01.7+ [481]
  516. "10111010010", # "11100010" +D02.7+ [482]
  517. "01000100011", # "11100011" +D03.7- [483]
  518. "10111010100", # "11100100" +D04.7+ [484]
  519. "01000100101", # "11100101" +D05.7- [485]
  520. "01000100110", # "11100110" +D06.7- [486]
  521. "01000111000", # "11100111" +D07.7- [487]
  522. "10111011000", # "11101000" +D08.7+ [488]
  523. "01000101001", # "11101001" +D09.7- [489]
  524. "01000101010", # "11101010" +D10.7- [490]
  525. "00001001011", # "11101011" +D11.7- [491]
  526. "01000101100", # "11101100" +D12.7- [492]
  527. "00001001101", # "11101101" +D13.7- [493]
  528. "00001001110", # "11101110" +D14.7- [494]
  529. "10111000101", # "11101111" +D15.7+ [495]
  530. "10111001001", # "11110000" +D16.7+ [496]
  531. "01000110001", # "11110001" +D17.7- [497]
  532. "01000110010", # "11110010" +D18.7- [498]
  533. "01000010011", # "11110011" +D19.7- [499]
  534. "01000110100", # "11110100" +D20.7- [500]
  535. "01000010101", # "11110101" +D21.7- [501]
  536. "01000010110", # "11110110" +D22.7- [502]
  537. "10111101000", # "11110111" +D23.7+ [503]
  538. "10111001100", # "11111000" +D24.7+ [504]
  539. "01000011001", # "11111001" +D25.7- [505]
  540. "01000011010", # "11111010" +D26.7- [506]
  541. "10111100100", # "11111011" +D27.7+ [507]
  542. "01000011100", # "11111100" +D28.7- [508]
  543. "10111100010", # "11111101" +D29.7+ [509]
  544. "10111100001", # "11111110" +D30.7+ [510]
  545. "10111001010", # "11111111" +D31.7+ [511]
  546. "00010111001", # "00000000" -K00.0- [512]
  547. "00010101110", # "00000001" -K01.0- [513]
  548. "00010101101", # "00000010" -K02.0- [514]
  549. "11101100011", # "00000011" -K03.0+ [515]
  550. "00010101011", # "00000100" -K04.0- [516]
  551. "11101100101", # "00000101" -K05.0+ [517]
  552. "11101100110", # "00000110" -K06.0+ [518]
  553. "11101000111", # "00000111" -K07.0+ [519]
  554. "00010100111", # "00001000" -K08.0- [520]
  555. "11101101001", # "00001001" -K09.0+ [521]
  556. "11101101010", # "00001010" -K10.0+ [522]
  557. "11101001011", # "00001011" -K11.0+ [523]
  558. "11101101100", # "00001100" -K12.0+ [524]
  559. "11101001101", # "00001101" -K13.0+ [525]
  560. "11101001110", # "00001110" -K14.0+ [526]
  561. "00010111010", # "00001111" -K15.0- [527]
  562. "00010110110", # "00010000" -K16.0- [528]
  563. "11101110001", # "00010001" -K17.0+ [529]
  564. "11101110010", # "00010010" -K18.0+ [530]
  565. "11101010011", # "00010011" -K19.0+ [531]
  566. "11101110100", # "00010100" -K20.0+ [532]
  567. "11101010101", # "00010101" -K21.0+ [533]
  568. "11101010110", # "00010110" -K22.0+ [534]
  569. "00010010111", # "00010111" -K23.0- [535]
  570. "00010110011", # "00011000" -K24.0- [536]
  571. "11101011001", # "00011001" -K25.0+ [537]
  572. "11101011010", # "00011010" -K26.0+ [538]
  573. "00010011011", # "00011011" -K27.0- [539]
  574. "00010111100", # "00011100" -K28.0- [540]
  575. "00010011101", # "00011101" -K29.0- [541]
  576. "00010011110", # "00011110" -K30.0- [542]
  577. "00010110101", # "00011111" -K31.0- [543]
  578. "11001111001", # "00100000" -K00.1+ [544]
  579. "11001101110", # "00100001" -K01.1+ [545]
  580. "11001101101", # "00100010" -K02.1+ [546]
  581. "00110100011", # "00100011" -K03.1- [547]
  582. "11001101011", # "00100100" -K04.1+ [548]
  583. "00110100101", # "00100101" -K05.1- [549]
  584. "00110100110", # "00100110" -K06.1- [550]
  585. "00110000111", # "00100111" -K07.1- [551]
  586. "11001100111", # "00101000" -K08.1+ [552]
  587. "00110101001", # "00101001" -K09.1- [553]
  588. "00110101010", # "00101010" -K10.1- [554]
  589. "00110001011", # "00101011" -K11.1- [555]
  590. "00110101100", # "00101100" -K12.1- [556]
  591. "00110001101", # "00101101" -K13.1- [557]
  592. "00110001110", # "00101110" -K14.1- [558]
  593. "11001111010", # "00101111" -K15.1+ [559]
  594. "11001110110", # "00110000" -K16.1+ [560]
  595. "00110110001", # "00110001" -K17.1- [561]
  596. "00110110010", # "00110010" -K18.1- [562]
  597. "00110010011", # "00110011" -K19.1- [563]
  598. "00110110100", # "00110100" -K20.1- [564]
  599. "00110010101", # "00110101" -K21.1- [565]
  600. "00110010110", # "00110110" -K22.1- [566]
  601. "11001010111", # "00110111" -K23.1+ [567]
  602. "11001110011", # "00111000" -K24.1+ [568]
  603. "00110011001", # "00111001" -K25.1- [569]
  604. "00110011010", # "00111010" -K26.1- [570]
  605. "11001011011", # "00111011" -K27.1+ [571]
  606. "11001111100", # "00111100" -K28.1+ [572]
  607. "11001011101", # "00111101" -K29.1+ [573]
  608. "11001011110", # "00111110" -K30.1+ [574]
  609. "11001110101", # "00111111" -K31.1+ [575]
  610. "11010111001", # "01000000" -K00.2+ [576]
  611. "11010101110", # "01000001" -K01.2+ [577]
  612. "11010101101", # "01000010" -K02.2+ [578]
  613. "00101100011", # "01000011" -K03.2- [579]
  614. "11010101011", # "01000100" -K04.2+ [580]
  615. "00101100101", # "01000101" -K05.2- [581]
  616. "00101100110", # "01000110" -K06.2- [582]
  617. "00101000111", # "01000111" -K07.2- [583]
  618. "11010100111", # "01001000" -K08.2+ [584]
  619. "00101101001", # "01001001" -K09.2- [585]
  620. "00101101010", # "01001010" -K10.2- [586]
  621. "00101001011", # "01001011" -K11.2- [587]
  622. "00101101100", # "01001100" -K12.2- [588]
  623. "00101001101", # "01001101" -K13.2- [589]
  624. "00101001110", # "01001110" -K14.2- [590]
  625. "11010111010", # "01001111" -K15.2+ [591]
  626. "11010110110", # "01010000" -K16.2+ [592]
  627. "00101110001", # "01010001" -K17.2- [593]
  628. "00101110010", # "01010010" -K18.2- [594]
  629. "00101010011", # "01010011" -K19.2- [595]
  630. "00101110100", # "01010100" -K20.2- [596]
  631. "00101010101", # "01010101" -K21.2- [597]
  632. "00101010110", # "01010110" -K22.2- [598]
  633. "11010010111", # "01010111" -K23.2+ [599]
  634. "11010110011", # "01011000" -K24.2+ [600]
  635. "00101011001", # "01011001" -K25.2- [601]
  636. "00101011010", # "01011010" -K26.2- [602]
  637. "11010011011", # "01011011" -K27.2+ [603]
  638. "11010111100", # "01011100" -K28.2+ [604]
  639. "11010011101", # "01011101" -K29.2+ [605]
  640. "11010011110", # "01011110" -K30.2+ [606]
  641. "11010110101", # "01011111" -K31.2+ [607]
  642. "11100111001", # "01100000" -K00.3+ [608]
  643. "11100101110", # "01100001" -K01.3+ [609]
  644. "11100101101", # "01100010" -K02.3+ [610]
  645. "00011100011", # "01100011" -K03.3- [611]
  646. "11100101011", # "01100100" -K04.3+ [612]
  647. "00011100101", # "01100101" -K05.3- [613]
  648. "00011100110", # "01100110" -K06.3- [614]
  649. "00011000111", # "01100111" -K07.3- [615]
  650. "11100100111", # "01101000" -K08.3+ [616]
  651. "00011101001", # "01101001" -K09.3- [617]
  652. "00011101010", # "01101010" -K10.3- [618]
  653. "00011001011", # "01101011" -K11.3- [619]
  654. "00011101100", # "01101100" -K12.3- [620]
  655. "00011001101", # "01101101" -K13.3- [621]
  656. "00011001110", # "01101110" -K14.3- [622]
  657. "11100111010", # "01101111" -K15.3+ [623]
  658. "11100110110", # "01110000" -K16.3+ [624]
  659. "00011110001", # "01110001" -K17.3- [625]
  660. "00011110010", # "01110010" -K18.3- [626]
  661. "00011010011", # "01110011" -K19.3- [627]
  662. "00011110100", # "01110100" -K20.3- [628]
  663. "00011010101", # "01110101" -K21.3- [629]
  664. "00011010110", # "01110110" -K22.3- [630]
  665. "11100010111", # "01110111" -K23.3+ [631]
  666. "11100110011", # "01111000" -K24.3+ [632]
  667. "00011011001", # "01111001" -K25.3- [633]
  668. "00011011010", # "01111010" -K26.3- [634]
  669. "11100011011", # "01111011" -K27.3+ [635]
  670. "11100111100", # "01111100" -K28.3+ [636]
  671. "11100011101", # "01111101" -K29.3+ [637]
  672. "11100011110", # "01111110" -K30.3+ [638]
  673. "11100110101", # "01111111" -K31.3+ [639]
  674. "00100111001", # "10000000" -K00.4- [640]
  675. "00100101110", # "10000001" -K01.4- [641]
  676. "00100101101", # "10000010" -K02.4- [642]
  677. "11011100011", # "10000011" -K03.4+ [643]
  678. "00100101011", # "10000100" -K04.4- [644]
  679. "11011100101", # "10000101" -K05.4+ [645]
  680. "11011100110", # "10000110" -K06.4+ [646]
  681. "11011000111", # "10000111" -K07.4+ [647]
  682. "00100100111", # "10001000" -K08.4- [648]
  683. "11011101001", # "10001001" -K09.4+ [649]
  684. "11011101010", # "10001010" -K10.4+ [650]
  685. "11011001011", # "10001011" -K11.4+ [651]
  686. "11011101100", # "10001100" -K12.4+ [652]
  687. "11011001101", # "10001101" -K13.4+ [653]
  688. "11011001110", # "10001110" -K14.4+ [654]
  689. "00100111010", # "10001111" -K15.4- [655]
  690. "00100110110", # "10010000" -K16.4- [656]
  691. "11011110001", # "10010001" -K17.4+ [657]
  692. "11011110010", # "10010010" -K18.4+ [658]
  693. "11011010011", # "10010011" -K19.4+ [659]
  694. "11011110100", # "10010100" -K20.4+ [660]
  695. "11011010101", # "10010101" -K21.4+ [661]
  696. "11011010110", # "10010110" -K22.4+ [662]
  697. "00100010111", # "10010111" -K23.4- [663]
  698. "00100110011", # "10011000" -K24.4- [664]
  699. "11011011001", # "10011001" -K25.4+ [665]
  700. "11011011010", # "10011010" -K26.4+ [666]
  701. "00100011011", # "10011011" -K27.4- [667]
  702. "00100111100", # "10011100" -K28.4- [668]
  703. "00100011101", # "10011101" -K29.4- [669]
  704. "00100011110", # "10011110" -K30.4- [670]
  705. "00100110101", # "10011111" -K31.4- [671]
  706. "10101111001", # "10100000" -K00.5+ [672]
  707. "10101101110", # "10100001" -K01.5+ [673]
  708. "10101101101", # "10100010" -K02.5+ [674]
  709. "01010100011", # "10100011" -K03.5- [675]
  710. "10101101011", # "10100100" -K04.5+ [676]
  711. "01010100101", # "10100101" -K05.5- [677]
  712. "01010100110", # "10100110" -K06.5- [678]
  713. "01010000111", # "10100111" -K07.5- [679]
  714. "10101100111", # "10101000" -K08.5+ [680]
  715. "01010101001", # "10101001" -K09.5- [681]
  716. "01010101010", # "10101010" -K10.5- [682]
  717. "01010001011", # "10101011" -K11.5- [683]
  718. "01010101100", # "10101100" -K12.5- [684]
  719. "01010001101", # "10101101" -K13.5- [685]
  720. "01010001110", # "10101110" -K14.5- [686]
  721. "10101111010", # "10101111" -K15.5+ [687]
  722. "10101110110", # "10110000" -K16.5+ [688]
  723. "01010110001", # "10110001" -K17.5- [689]
  724. "01010110010", # "10110010" -K18.5- [690]
  725. "01010010011", # "10110011" -K19.5- [691]
  726. "01010110100", # "10110100" -K20.5- [692]
  727. "01010010101", # "10110101" -K21.5- [693]
  728. "01010010110", # "10110110" -K22.5- [694]
  729. "10101010111", # "10110111" -K23.5+ [695]
  730. "10101110011", # "10111000" -K24.5+ [696]
  731. "01010011001", # "10111001" -K25.5- [697]
  732. "01010011010", # "10111010" -K26.5- [698]
  733. "10101011011", # "10111011" -K27.5+ [699]
  734. "10101111100", # "10111100" -K28.5+ [700]
  735. "10101011101", # "10111101" -K29.5+ [701]
  736. "10101011110", # "10111110" -K30.5+ [702]
  737. "10101110101", # "10111111" -K31.5+ [703]
  738. "10110111001", # "11000000" -K00.6+ [704]
  739. "10110101110", # "11000001" -K01.6+ [705]
  740. "10110101101", # "11000010" -K02.6+ [706]
  741. "01001100011", # "11000011" -K03.6- [707]
  742. "10110101011", # "11000100" -K04.6+ [708]
  743. "01001100101", # "11000101" -K05.6- [709]
  744. "01001100110", # "11000110" -K06.6- [710]
  745. "01001000111", # "11000111" -K07.6- [711]
  746. "10110100111", # "11001000" -K08.6+ [712]
  747. "01001101001", # "11001001" -K09.6- [713]
  748. "01001101010", # "11001010" -K10.6- [714]
  749. "01001001011", # "11001011" -K11.6- [715]
  750. "01001101100", # "11001100" -K12.6- [716]
  751. "01001001101", # "11001101" -K13.6- [717]
  752. "01001001110", # "11001110" -K14.6- [718]
  753. "10110111010", # "11001111" -K15.6+ [719]
  754. "10110110110", # "11010000" -K16.6+ [720]
  755. "01001110001", # "11010001" -K17.6- [721]
  756. "01001110010", # "11010010" -K18.6- [722]
  757. "01001010011", # "11010011" -K19.6- [723]
  758. "01001110100", # "11010100" -K20.6- [724]
  759. "01001010101", # "11010101" -K21.6- [725]
  760. "01001010110", # "11010110" -K22.6- [726]
  761. "10110010111", # "11010111" -K23.6+ [727]
  762. "10110110011", # "11011000" -K24.6+ [728]
  763. "01001011001", # "11011001" -K25.6- [729]
  764. "01001011010", # "11011010" -K26.6- [730]
  765. "10110011011", # "11011011" -K27.6+ [731]
  766. "10110111100", # "11011100" -K28.6+ [732]
  767. "10110011101", # "11011101" -K29.6+ [733]
  768. "10110011110", # "11011110" -K30.6+ [734]
  769. "10110110101", # "11011111" -K31.6+ [735]
  770. "00001111001", # "11100000" -K00.7- [736]
  771. "00001101110", # "11100001" -K01.7- [737]
  772. "00001101101", # "11100010" -K02.7- [738]
  773. "11110100011", # "11100011" -K03.7+ [739]
  774. "00001101011", # "11100100" -K04.7- [740]
  775. "11110100101", # "11100101" -K05.7+ [741]
  776. "11110100110", # "11100110" -K06.7+ [742]
  777. "11110000111", # "11100111" -K07.7+ [743]
  778. "00001100111", # "11101000" -K08.7- [744]
  779. "11110101001", # "11101001" -K09.7+ [745]
  780. "11110101010", # "11101010" -K10.7+ [746]
  781. "11110001011", # "11101011" -K11.7+ [747]
  782. "11110101100", # "11101100" -K12.7+ [748]
  783. "11110001101", # "11101101" -K13.7+ [749]
  784. "11110001110", # "11101110" -K14.7+ [750]
  785. "00001111010", # "11101111" -K15.7- [751]
  786. "00001110110", # "11110000" -K16.7- [752]
  787. "11110110001", # "11110001" -K17.7+ [753]
  788. "11110110010", # "11110010" -K18.7+ [754]
  789. "11110010011", # "11110011" -K19.7+ [755]
  790. "11110110100", # "11110100" -K20.7+ [756]
  791. "11110010101", # "11110101" -K21.7+ [757]
  792. "11110010110", # "11110110" -K22.7+ [758]
  793. "00001010111", # "11110111" -K23.7- [759]
  794. "00001110011", # "11111000" -K24.7- [760]
  795. "11110011001", # "11111001" -K25.7+ [761]
  796. "11110011010", # "11111010" -K26.7+ [762]
  797. "00001011011", # "11111011" -K27.7- [763]
  798. "00001111100", # "11111100" -K28.7- [764]
  799. "00001011101", # "11111101" -K29.7- [765]
  800. "00001011110", # "11111110" -K30.7- [766]
  801. "00001110101", # "11111111" -K31.7- [767]
  802. "11101000110", # "00000000" +K00.0+ [768]
  803. "11101010001", # "00000001" +K01.0+ [769]
  804. "11101010010", # "00000010" +K02.0+ [770]
  805. "00010100011", # "00000011" +K03.0- [771]
  806. "11101010100", # "00000100" +K04.0+ [772]
  807. "00010100101", # "00000101" +K05.0- [773]
  808. "00010100110", # "00000110" +K06.0- [774]
  809. "00010111000", # "00000111" +K07.0- [775]
  810. "11101011000", # "00001000" +K08.0+ [776]
  811. "00010101001", # "00001001" +K09.0- [777]
  812. "00010101010", # "00001010" +K10.0- [778]
  813. "00010001011", # "00001011" +K11.0- [779]
  814. "00010101100", # "00001100" +K12.0- [780]
  815. "00010001101", # "00001101" +K13.0- [781]
  816. "00010001110", # "00001110" +K14.0- [782]
  817. "11101000101", # "00001111" +K15.0+ [783]
  818. "11101001001", # "00010000" +K16.0+ [784]
  819. "00010110001", # "00010001" +K17.0- [785]
  820. "00010110010", # "00010010" +K18.0- [786]
  821. "00010010011", # "00010011" +K19.0- [787]
  822. "00010110100", # "00010100" +K20.0- [788]
  823. "00010010101", # "00010101" +K21.0- [789]
  824. "00010010110", # "00010110" +K22.0- [790]
  825. "11101101000", # "00010111" +K23.0+ [791]
  826. "11101001100", # "00011000" +K24.0+ [792]
  827. "00010011001", # "00011001" +K25.0- [793]
  828. "00010011010", # "00011010" +K26.0- [794]
  829. "11101100100", # "00011011" +K27.0+ [795]
  830. "11101000011", # "00011100" +K28.0+ [796]
  831. "11101100010", # "00011101" +K29.0+ [797]
  832. "11101100001", # "00011110" +K30.0+ [798]
  833. "11101001010", # "00011111" +K31.0+ [799]
  834. "00110000110", # "00100000" +K00.1- [800]
  835. "00110010001", # "00100001" +K01.1- [801]
  836. "00110010010", # "00100010" +K02.1- [802]
  837. "11001100011", # "00100011" +K03.1+ [803]
  838. "00110010100", # "00100100" +K04.1- [804]
  839. "11001100101", # "00100101" +K05.1+ [805]
  840. "11001100110", # "00100110" +K06.1+ [806]
  841. "11001111000", # "00100111" +K07.1+ [807]
  842. "00110011000", # "00101000" +K08.1- [808]
  843. "11001101001", # "00101001" +K09.1+ [809]
  844. "11001101010", # "00101010" +K10.1+ [810]
  845. "11001001011", # "00101011" +K11.1+ [811]
  846. "11001101100", # "00101100" +K12.1+ [812]
  847. "11001001101", # "00101101" +K13.1+ [813]
  848. "11001001110", # "00101110" +K14.1+ [814]
  849. "00110000101", # "00101111" +K15.1- [815]
  850. "00110001001", # "00110000" +K16.1- [816]
  851. "11001110001", # "00110001" +K17.1+ [817]
  852. "11001110010", # "00110010" +K18.1+ [818]
  853. "11001010011", # "00110011" +K19.1+ [819]
  854. "11001110100", # "00110100" +K20.1+ [820]
  855. "11001010101", # "00110101" +K21.1+ [821]
  856. "11001010110", # "00110110" +K22.1+ [822]
  857. "00110101000", # "00110111" +K23.1- [823]
  858. "00110001100", # "00111000" +K24.1- [824]
  859. "11001011001", # "00111001" +K25.1+ [825]
  860. "11001011010", # "00111010" +K26.1+ [826]
  861. "00110100100", # "00111011" +K27.1- [827]
  862. "00110000011", # "00111100" +K28.1- [828]
  863. "00110100010", # "00111101" +K29.1- [829]
  864. "00110100001", # "00111110" +K30.1- [830]
  865. "00110001010", # "00111111" +K31.1- [831]
  866. "00101000110", # "01000000" +K00.2- [832]
  867. "00101010001", # "01000001" +K01.2- [833]
  868. "00101010010", # "01000010" +K02.2- [834]
  869. "11010100011", # "01000011" +K03.2+ [835]
  870. "00101010100", # "01000100" +K04.2- [836]
  871. "11010100101", # "01000101" +K05.2+ [837]
  872. "11010100110", # "01000110" +K06.2+ [838]
  873. "11010111000", # "01000111" +K07.2+ [839]
  874. "00101011000", # "01001000" +K08.2- [840]
  875. "11010101001", # "01001001" +K09.2+ [841]
  876. "11010101010", # "01001010" +K10.2+ [842]
  877. "11010001011", # "01001011" +K11.2+ [843]
  878. "11010101100", # "01001100" +K12.2+ [844]
  879. "11010001101", # "01001101" +K13.2+ [845]
  880. "11010001110", # "01001110" +K14.2+ [846]
  881. "00101000101", # "01001111" +K15.2- [847]
  882. "00101001001", # "01010000" +K16.2- [848]
  883. "11010110001", # "01010001" +K17.2+ [849]
  884. "11010110010", # "01010010" +K18.2+ [850]
  885. "11010010011", # "01010011" +K19.2+ [851]
  886. "11010110100", # "01010100" +K20.2+ [852]
  887. "11010010101", # "01010101" +K21.2+ [853]
  888. "11010010110", # "01010110" +K22.2+ [854]
  889. "00101101000", # "01010111" +K23.2- [855]
  890. "00101001100", # "01011000" +K24.2- [856]
  891. "11010011001", # "01011001" +K25.2+ [857]
  892. "11010011010", # "01011010" +K26.2+ [858]
  893. "00101100100", # "01011011" +K27.2- [859]
  894. "00101000011", # "01011100" +K28.2- [860]
  895. "00101100010", # "01011101" +K29.2- [861]
  896. "00101100001", # "01011110" +K30.2- [862]
  897. "00101001010", # "01011111" +K31.2- [863]
  898. "00011000110", # "01100000" +K00.3- [864]
  899. "00011010001", # "01100001" +K01.3- [865]
  900. "00011010010", # "01100010" +K02.3- [866]
  901. "11100100011", # "01100011" +K03.3+ [867]
  902. "00011010100", # "01100100" +K04.3- [868]
  903. "11100100101", # "01100101" +K05.3+ [869]
  904. "11100100110", # "01100110" +K06.3+ [870]
  905. "11100111000", # "01100111" +K07.3+ [871]
  906. "00011011000", # "01101000" +K08.3- [872]
  907. "11100101001", # "01101001" +K09.3+ [873]
  908. "11100101010", # "01101010" +K10.3+ [874]
  909. "11100001011", # "01101011" +K11.3+ [875]
  910. "11100101100", # "01101100" +K12.3+ [876]
  911. "11100001101", # "01101101" +K13.3+ [877]
  912. "11100001110", # "01101110" +K14.3+ [878]
  913. "00011000101", # "01101111" +K15.3- [879]
  914. "00011001001", # "01110000" +K16.3- [880]
  915. "11100110001", # "01110001" +K17.3+ [881]
  916. "11100110010", # "01110010" +K18.3+ [882]
  917. "11100010011", # "01110011" +K19.3+ [883]
  918. "11100110100", # "01110100" +K20.3+ [884]
  919. "11100010101", # "01110101" +K21.3+ [885]
  920. "11100010110", # "01110110" +K22.3+ [886]
  921. "00011101000", # "01110111" +K23.3- [887]
  922. "00011001100", # "01111000" +K24.3- [888]
  923. "11100011001", # "01111001" +K25.3+ [889]
  924. "11100011010", # "01111010" +K26.3+ [890]
  925. "00011100100", # "01111011" +K27.3- [891]
  926. "00011000011", # "01111100" +K28.3- [892]
  927. "00011100010", # "01111101" +K29.3- [893]
  928. "00011100001", # "01111110" +K30.3- [894]
  929. "00011001010", # "01111111" +K31.3- [895]
  930. "11011000110", # "10000000" +K00.4+ [896]
  931. "11011010001", # "10000001" +K01.4+ [897]
  932. "11011010010", # "10000010" +K02.4+ [898]
  933. "00100100011", # "10000011" +K03.4- [899]
  934. "11011010100", # "10000100" +K04.4+ [900]
  935. "00100100101", # "10000101" +K05.4- [901]
  936. "00100100110", # "10000110" +K06.4- [902]
  937. "00100111000", # "10000111" +K07.4- [903]
  938. "11011011000", # "10001000" +K08.4+ [904]
  939. "00100101001", # "10001001" +K09.4- [905]
  940. "00100101010", # "10001010" +K10.4- [906]
  941. "00100001011", # "10001011" +K11.4- [907]
  942. "00100101100", # "10001100" +K12.4- [908]
  943. "00100001101", # "10001101" +K13.4- [909]
  944. "00100001110", # "10001110" +K14.4- [910]
  945. "11011000101", # "10001111" +K15.4+ [911]
  946. "11011001001", # "10010000" +K16.4+ [912]
  947. "00100110001", # "10010001" +K17.4- [913]
  948. "00100110010", # "10010010" +K18.4- [914]
  949. "00100010011", # "10010011" +K19.4- [915]
  950. "00100110100", # "10010100" +K20.4- [916]
  951. "00100010101", # "10010101" +K21.4- [917]
  952. "00100010110", # "10010110" +K22.4- [918]
  953. "11011101000", # "10010111" +K23.4+ [919]
  954. "11011001100", # "10011000" +K24.4+ [920]
  955. "00100011001", # "10011001" +K25.4- [921]
  956. "00100011010", # "10011010" +K26.4- [922]
  957. "11011100100", # "10011011" +K27.4+ [923]
  958. "11011000011", # "10011100" +K28.4+ [924]
  959. "11011100010", # "10011101" +K29.4+ [925]
  960. "11011100001", # "10011110" +K30.4+ [926]
  961. "11011001010", # "10011111" +K31.4+ [927]
  962. "01010000110", # "10100000" +K00.5- [928]
  963. "01010010001", # "10100001" +K01.5- [929]
  964. "01010010010", # "10100010" +K02.5- [930]
  965. "10101100011", # "10100011" +K03.5+ [931]
  966. "01010010100", # "10100100" +K04.5- [932]
  967. "10101100101", # "10100101" +K05.5+ [933]
  968. "10101100110", # "10100110" +K06.5+ [934]
  969. "10101111000", # "10100111" +K07.5+ [935]
  970. "01010011000", # "10101000" +K08.5- [936]
  971. "10101101001", # "10101001" +K09.5+ [937]
  972. "10101101010", # "10101010" +K10.5+ [938]
  973. "10101001011", # "10101011" +K11.5+ [939]
  974. "10101101100", # "10101100" +K12.5+ [940]
  975. "10101001101", # "10101101" +K13.5+ [941]
  976. "10101001110", # "10101110" +K14.5+ [942]
  977. "01010000101", # "10101111" +K15.5- [943]
  978. "01010001001", # "10110000" +K16.5- [944]
  979. "10101110001", # "10110001" +K17.5+ [945]
  980. "10101110010", # "10110010" +K18.5+ [946]
  981. "10101010011", # "10110011" +K19.5+ [947]
  982. "10101110100", # "10110100" +K20.5+ [948]
  983. "10101010101", # "10110101" +K21.5+ [949]
  984. "10101010110", # "10110110" +K22.5+ [950]
  985. "01010101000", # "10110111" +K23.5- [951]
  986. "01010001100", # "10111000" +K24.5- [952]
  987. "10101011001", # "10111001" +K25.5+ [953]
  988. "10101011010", # "10111010" +K26.5+ [954]
  989. "01010100100", # "10111011" +K27.5- [955]
  990. "01010000011", # "10111100" +K28.5- [956]
  991. "01010100010", # "10111101" +K29.5- [957]
  992. "01010100001", # "10111110" +K30.5- [958]
  993. "01010001010", # "10111111" +K31.5- [959]
  994. "01001000110", # "11000000" +K00.6- [960]
  995. "01001010001", # "11000001" +K01.6- [961]
  996. "01001010010", # "11000010" +K02.6- [962]
  997. "10110100011", # "11000011" +K03.6+ [963]
  998. "01001010100", # "11000100" +K04.6- [964]
  999. "10110100101", # "11000101" +K05.6+ [965]
  1000. "10110100110", # "11000110" +K06.6+ [966]
  1001. "10110111000", # "11000111" +K07.6+ [967]
  1002. "01001011000", # "11001000" +K08.6- [968]
  1003. "10110101001", # "11001001" +K09.6+ [969]
  1004. "10110101010", # "11001010" +K10.6+ [970]
  1005. "10110001011", # "11001011" +K11.6+ [971]
  1006. "10110101100", # "11001100" +K12.6+ [972]
  1007. "10110001101", # "11001101" +K13.6+ [973]
  1008. "10110001110", # "11001110" +K14.6+ [974]
  1009. "01001000101", # "11001111" +K15.6- [975]
  1010. "01001001001", # "11010000" +K16.6- [976]
  1011. "10110110001", # "11010001" +K17.6+ [977]
  1012. "10110110010", # "11010010" +K18.6+ [978]
  1013. "10110010011", # "11010011" +K19.6+ [979]
  1014. "10110110100", # "11010100" +K20.6+ [980]
  1015. "10110010101", # "11010101" +K21.6+ [981]
  1016. "10110010110", # "11010110" +K22.6+ [982]
  1017. "01001101000", # "11010111" +K23.6- [983]
  1018. "01001001100", # "11011000" +K24.6- [984]
  1019. "10110011001", # "11011001" +K25.6+ [985]
  1020. "10110011010", # "11011010" +K26.6+ [986]
  1021. "01001100100", # "11011011" +K27.6- [987]
  1022. "01001000011", # "11011100" +K28.6- [988]
  1023. "01001100010", # "11011101" +K29.6- [989]
  1024. "01001100001", # "11011110" +K30.6- [990]
  1025. "01001001010", # "11011111" +K31.6- [991]
  1026. "11110000110", # "11100000" +K00.7+ [992]
  1027. "11110010001", # "11100001" +K01.7+ [993]
  1028. "11110010010", # "11100010" +K02.7+ [994]
  1029. "00001100011", # "11100011" +K03.7- [995]
  1030. "11110010100", # "11100100" +K04.7+ [996]
  1031. "00001100101", # "11100101" +K05.7- [997]
  1032. "00001100110", # "11100110" +K06.7- [998]
  1033. "00001111000", # "11100111" +K07.7- [999]
  1034. "11110011000", # "11101000" +K08.7+ [1000]
  1035. "00001101001", # "11101001" +K09.7- [1001]
  1036. "00001101010", # "11101010" +K10.7- [1002]
  1037. "00001001011", # "11101011" +K11.7- [1003]
  1038. "00001101100", # "11101100" +K12.7- [1004]
  1039. "00001001101", # "11101101" +K13.7- [1005]
  1040. "00001001110", # "11101110" +K14.7- [1006]
  1041. "11110000101", # "11101111" +K15.7+ [1007]
  1042. "11110001001", # "11110000" +K16.7+ [1008]
  1043. "00001110001", # "11110001" +K17.7- [1009]
  1044. "00001110010", # "11110010" +K18.7- [1010]
  1045. "00001010011", # "11110011" +K19.7- [1011]
  1046. "00001110100", # "11110100" +K20.7- [1012]
  1047. "00001010101", # "11110101" +K21.7- [1013]
  1048. "00001010110", # "11110110" +K22.7- [1014]
  1049. "11110101000", # "11110111" +K23.7+ [1015]
  1050. "11110001100", # "11111000" +K24.7+ [1016]
  1051. "00001011001", # "11111001" +K25.7- [1017]
  1052. "00001011010", # "11111010" +K26.7- [1018]
  1053. "11110100100", # "11111011" +K27.7+ [1019]
  1054. "11110000011", # "11111100" +K28.7+ [1020]
  1055. "11110100010", # "11111101" +K29.7+ [1021]
  1056. "11110100001", # "11111110" +K30.7+ [1022]
  1057. "11110001010" # "11111111" +K31.7+ [1023]
  1058. ]
  1059. dec_lookup = [
  1060. "DEC8b10bERR", # "0000000000"
  1061. "DEC8b10bERR", # "0000000001"
  1062. "DEC8b10bERR", # "0000000010"
  1063. "DEC8b10bERR", # "0000000011"
  1064. "DEC8b10bERR", # "0000000100"
  1065. "DEC8b10bERR", # "0000000101"
  1066. "DEC8b10bERR", # "0000000110"
  1067. "DEC8b10bERR", # "0000000111"
  1068. "DEC8b10bERR", # "0000001000"
  1069. "DEC8b10bERR", # "0000001001"
  1070. "DEC8b10bERR", # "0000001010"
  1071. "DEC8b10bERR", # "0000001011"
  1072. "DEC8b10bERR", # "0000001100"
  1073. "DEC8b10bERR", # "0000001101"
  1074. "DEC8b10bERR", # "0000001110"
  1075. "DEC8b10bERR", # "0000001111"
  1076. "DEC8b10bERR", # "0000010000"
  1077. "DEC8b10bERR", # "0000010001"
  1078. "DEC8b10bERR", # "0000010010"
  1079. "DEC8b10bERR", # "0000010011"
  1080. "DEC8b10bERR", # "0000010100"
  1081. "DEC8b10bERR", # "0000010101"
  1082. "DEC8b10bERR", # "0000010110"
  1083. "DEC8b10bERR", # "0000010111"
  1084. "DEC8b10bERR", # "0000011000"
  1085. "DEC8b10bERR", # "0000011001"
  1086. "DEC8b10bERR", # "0000011010"
  1087. "DEC8b10bERR", # "0000011011"
  1088. "DEC8b10bERR", # "0000011100"
  1089. "DEC8b10bERR", # "0000011101"
  1090. "DEC8b10bERR", # "0000011110"
  1091. "DEC8b10bERR", # "0000011111"
  1092. "DEC8b10bERR", # "0000100000"
  1093. "DEC8b10bERR", # "0000100001"
  1094. "DEC8b10bERR", # "0000100010"
  1095. "DEC8b10bERR", # "0000100011"
  1096. "DEC8b10bERR", # "0000100100"
  1097. "DEC8b10bERR", # "0000100101"
  1098. "DEC8b10bERR", # "0000100110"
  1099. "DEC8b10bERR", # "0000100111"
  1100. "DEC8b10bERR", # "0000101000"
  1101. "DEC8b10bERR", # "0000101001"
  1102. "DEC8b10bERR", # "0000101010"
  1103. "DEC8b10bERR", # "0000101011"
  1104. "DEC8b10bERR", # "0000101100"
  1105. "DEC8b10bERR", # "0000101101"
  1106. "DEC8b10bERR", # "0000101110"
  1107. "DEC8b10bERR", # "0000101111"
  1108. "DEC8b10bERR", # "0000110000"
  1109. "DEC8b10bERR", # "0000110001"
  1110. "DEC8b10bERR", # "0000110010"
  1111. "DEC8b10bERR", # "0000110011"
  1112. "DEC8b10bERR", # "0000110100"
  1113. "DEC8b10bERR", # "0000110101"
  1114. "DEC8b10bERR", # "0000110110"
  1115. "DEC8b10bERR", # "0000110111"
  1116. "DEC8b10bERR", # "0000111000"
  1117. "DEC8b10bERR", # "0000111001"
  1118. "DEC8b10bERR", # "0000111010"
  1119. "DEC8b10bERR", # "0000111011"
  1120. "DEC8b10bERR", # "0000111100"
  1121. "DEC8b10bERR", # "0000111101"
  1122. "DEC8b10bERR", # "0000111110"
  1123. "DEC8b10bERR", # "0000111111"
  1124. "DEC8b10bERR", # "0001000000"
  1125. "DEC8b10bERR", # "0001000001"
  1126. "DEC8b10bERR", # "0001000010"
  1127. "DEC8b10bERR", # "0001000011"
  1128. "DEC8b10bERR", # "0001000100"
  1129. "DEC8b10bERR", # "0001000101"
  1130. "DEC8b10bERR", # "0001000110"
  1131. "DEC8b10bERR", # "0001000111"
  1132. "DEC8b10bERR", # "0001001000"
  1133. "DEC8b10bERR", # "0001001001"
  1134. "DEC8b10bERR", # "0001001010"
  1135. "011101011", # "0001001011", -D11.7+, [1,1]
  1136. "DEC8b10bERR", # "0001001100"
  1137. "011101101", # "0001001101", -D13.7+, [2,2]
  1138. "011101110", # "0001001110", -D14.7+, [3,3]
  1139. "DEC8b10bERR", # "0001001111"
  1140. "DEC8b10bERR", # "0001010000"
  1141. "DEC8b10bERR", # "0001010001"
  1142. "DEC8b10bERR", # "0001010010"
  1143. "111110011", # "0001010011", -K19.7+, [4,1]
  1144. "DEC8b10bERR", # "0001010100"
  1145. "111110101", # "0001010101", -K21.7+, [5,2]
  1146. "111110110", # "0001010110", -K22.7+, [6,3]
  1147. "111110111", # "0001010111", +K23.7+, [7,4]
  1148. "DEC8b10bERR", # "0001011000"
  1149. "111111001", # "0001011001", -K25.7+, [8,5]
  1150. "111111010", # "0001011010", -K26.7+, [9,6]
  1151. "111111011", # "0001011011", +K27.7+, [10,7]
  1152. "DEC8b10bERR", # "0001011100"
  1153. "111111101", # "0001011101", +K29.7+, [11,8]
  1154. "111111110", # "0001011110", +K30.7+, [12,9]
  1155. "DEC8b10bERR", # "0001011111"
  1156. "DEC8b10bERR", # "0001100000"
  1157. "DEC8b10bERR", # "0001100001"
  1158. "DEC8b10bERR", # "0001100010"
  1159. "111100011", # "0001100011", -K3.7+, [13,10]
  1160. "DEC8b10bERR", # "0001100100"
  1161. "111100101", # "0001100101", -K5.7+, [14,11]
  1162. "111100110", # "0001100110", -K6.7+, [15,12]
  1163. "111101000", # "0001100111", +K8.7+, [16,13]
  1164. "DEC8b10bERR", # "0001101000"
  1165. "111101001", # "0001101001", -K9.7+, [17,14]
  1166. "111101010", # "0001101010", -K10.7+, [18,15]
  1167. "111100100", # "0001101011", +K4.7+, [19,16]
  1168. "111101100", # "0001101100", -K12.7+, [20,17]
  1169. "111100010", # "0001101101", +K2.7+, [21,18]
  1170. "111100001", # "0001101110", +K1.7+, [22,19]
  1171. "DEC8b10bERR", # "0001101111"
  1172. "DEC8b10bERR", # "0001110000"
  1173. "111110001", # "0001110001", -K17.7+, [23,20]
  1174. "111110010", # "0001110010", -K18.7+, [24,21]
  1175. "111111000", # "0001110011", +K24.7+, [25,22]
  1176. "111110100", # "0001110100", -K20.7+, [26,23]
  1177. "111111111", # "0001110101", +K31.7+, [27,24]
  1178. "111110000", # "0001110110", +K16.7+, [28,25]
  1179. "DEC8b10bERR", # "0001110111"
  1180. "111100111", # "0001111000", -K7.7+, [29,26]
  1181. "111100000", # "0001111001", +K0.7+, [30,27]
  1182. "111101111", # "0001111010", +K15.7+, [31,28]
  1183. "DEC8b10bERR", # "0001111011"
  1184. "111111100", # "0001111100", +K28.7+, [32,29]
  1185. "DEC8b10bERR", # "0001111101"
  1186. "DEC8b10bERR", # "0001111110"
  1187. "DEC8b10bERR", # "0001111111"
  1188. "DEC8b10bERR", # "0010000000"
  1189. "DEC8b10bERR", # "0010000001"
  1190. "DEC8b10bERR", # "0010000010"
  1191. "DEC8b10bERR", # "0010000011"
  1192. "DEC8b10bERR", # "0010000100"
  1193. "DEC8b10bERR", # "0010000101"
  1194. "DEC8b10bERR", # "0010000110"
  1195. "DEC8b10bERR", # "0010000111"
  1196. "DEC8b10bERR", # "0010001000"
  1197. "DEC8b10bERR", # "0010001001"
  1198. "DEC8b10bERR", # "0010001010"
  1199. "000001011", # "0010001011", -D11.0+, [33,4]
  1200. "DEC8b10bERR", # "0010001100"
  1201. "000001101", # "0010001101", -D13.0+, [34,5]
  1202. "000001110", # "0010001110", -D14.0+, [35,6]
  1203. "DEC8b10bERR", # "0010001111"
  1204. "DEC8b10bERR", # "0010010000"
  1205. "DEC8b10bERR", # "0010010001"
  1206. "DEC8b10bERR", # "0010010010"
  1207. "000010011", # "0010010011", -D19.0+, [36,7]
  1208. "DEC8b10bERR", # "0010010100"
  1209. "000010101", # "0010010101", -D21.0+, [37,8]
  1210. "000010110", # "0010010110", -D22.0+, [38,9]
  1211. "000010111", # "0010010111", +D23.0+, [39,10]
  1212. "DEC8b10bERR", # "0010011000"
  1213. "000011001", # "0010011001", -D25.0+, [40,11]
  1214. "000011010", # "0010011010", -D26.0+, [41,12]
  1215. "000011011", # "0010011011", +D27.0+, [42,13]
  1216. "000011100", # "0010011100", -D28.0+, [43,14]
  1217. "000011101", # "0010011101", +D29.0+, [44,15]
  1218. "000011110", # "0010011110", +D30.0+, [45,16]
  1219. "DEC8b10bERR", # "0010011111"
  1220. "DEC8b10bERR", # "0010100000"
  1221. "DEC8b10bERR", # "0010100001"
  1222. "DEC8b10bERR", # "0010100010"
  1223. "000000011", # "0010100011", -D3.0+, [46,17]
  1224. "DEC8b10bERR", # "0010100100"
  1225. "000000101", # "0010100101", -D5.0+, [47,18]
  1226. "000000110", # "0010100110", -D6.0+, [48,19]
  1227. "000001000", # "0010100111", +D8.0+, [49,20]
  1228. "DEC8b10bERR", # "0010101000"
  1229. "000001001", # "0010101001", -D9.0+, [50,21]
  1230. "000001010", # "0010101010", -D10.0+, [51,22]
  1231. "000000100", # "0010101011", +D4.0+, [52,23]
  1232. "000001100", # "0010101100", -D12.0+, [53,24]
  1233. "000000010", # "0010101101", +D2.0+, [54,25]
  1234. "000000001", # "0010101110", +D1.0+, [55,26]
  1235. "DEC8b10bERR", # "0010101111"
  1236. "DEC8b10bERR", # "0010110000"
  1237. "000010001", # "0010110001", -D17.0+, [56,27]
  1238. "000010010", # "0010110010", -D18.0+, [57,28]
  1239. "000011000", # "0010110011", +D24.0+, [58,29]
  1240. "000010100", # "0010110100", -D20.0+, [59,30]
  1241. "000011111", # "0010110101", +D31.0+, [60,31]
  1242. "000010000", # "0010110110", +D16.0+, [61,32]
  1243. "DEC8b10bERR", # "0010110111"
  1244. "000000111", # "0010111000", -D7.0+, [62,33]
  1245. "000000000", # "0010111001", +D0.0+, [63,34]
  1246. "000001111", # "0010111010", +D15.0+, [64,35]
  1247. "DEC8b10bERR", # "0010111011"
  1248. "100011100", # "0010111100", +K28.0+, [65,30]
  1249. "DEC8b10bERR", # "0010111101"
  1250. "DEC8b10bERR", # "0010111110"
  1251. "DEC8b10bERR", # "0010111111"
  1252. "DEC8b10bERR", # "0011000000"
  1253. "DEC8b10bERR", # "0011000001"
  1254. "DEC8b10bERR", # "0011000010"
  1255. "101111100", # "0011000011", -K28.3+, [66,31]
  1256. "DEC8b10bERR", # "0011000100"
  1257. "001101111", # "0011000101", -D15.3+, [67,36]
  1258. "001100000", # "0011000110", -D0.3+, [68,37]
  1259. "001100111", # "0011000111", +D7.3+, [69,38]
  1260. "DEC8b10bERR", # "0011001000"
  1261. "001110000", # "0011001001", -D16.3+, [70,39]
  1262. "001111111", # "0011001010", -D31.3+, [71,40]
  1263. "001101011", # "0011001011", +D11.3+, [72,41]
  1264. "001111000", # "0011001100", -D24.3+, [73,42]
  1265. "001101101", # "0011001101", +D13.3+, [74,43]
  1266. "001101110", # "0011001110", +D14.3+, [75,44]
  1267. "DEC8b10bERR", # "0011001111"
  1268. "DEC8b10bERR", # "0011010000"
  1269. "001100001", # "0011010001", -D1.3+, [76,45]
  1270. "001100010", # "0011010010", -D2.3+, [77,46]
  1271. "001110011", # "0011010011", +D19.3+, [78,47]
  1272. "001100100", # "0011010100", -D4.3+, [79,48]
  1273. "001110101", # "0011010101", +D21.3+, [80,49]
  1274. "001110110", # "0011010110", +D22.3+, [81,50]
  1275. "DEC8b10bERR", # "0011010111"
  1276. "001101000", # "0011011000", -D8.3+, [82,51]
  1277. "001111001", # "0011011001", +D25.3+, [83,52]
  1278. "001111010", # "0011011010", +D26.3+, [84,53]
  1279. "DEC8b10bERR", # "0011011011"
  1280. "001111100", # "0011011100", +D28.3+, [85,54]
  1281. "DEC8b10bERR", # "0011011101"
  1282. "DEC8b10bERR", # "0011011110"
  1283. "DEC8b10bERR", # "0011011111"
  1284. "DEC8b10bERR", # "0011100000"
  1285. "001111110", # "0011100001", -D30.3+, [86,55]
  1286. "001111101", # "0011100010", -D29.3+, [87,56]
  1287. "001100011", # "0011100011", +D3.3+, [88,57]
  1288. "001111011", # "0011100100", -D27.3+, [89,58]
  1289. "001100101", # "0011100101", +D5.3+, [90,59]
  1290. "001100110", # "0011100110", +D6.3+, [91,60]
  1291. "DEC8b10bERR", # "0011100111"
  1292. "001110111", # "0011101000", -D23.3+, [92,61]
  1293. "001101001", # "0011101001", +D9.3+, [93,62]
  1294. "001101010", # "0011101010", +D10.3+, [94,63]
  1295. "DEC8b10bERR", # "0011101011"
  1296. "001101100", # "0011101100", +D12.3+, [95,64]
  1297. "DEC8b10bERR", # "0011101101"
  1298. "DEC8b10bERR", # "0011101110"
  1299. "DEC8b10bERR", # "0011101111"
  1300. "DEC8b10bERR", # "0011110000"
  1301. "001110001", # "0011110001", +D17.3+, [96,65]
  1302. "001110010", # "0011110010", +D18.3+, [97,66]
  1303. "DEC8b10bERR", # "0011110011"
  1304. "001110100", # "0011110100", +D20.3+, [98,67]
  1305. "DEC8b10bERR", # "0011110101"
  1306. "DEC8b10bERR", # "0011110110"
  1307. "DEC8b10bERR", # "0011110111"
  1308. "DEC8b10bERR", # "0011111000"
  1309. "DEC8b10bERR", # "0011111001"
  1310. "DEC8b10bERR", # "0011111010"
  1311. "DEC8b10bERR", # "0011111011"
  1312. "DEC8b10bERR", # "0011111100"
  1313. "DEC8b10bERR", # "0011111101"
  1314. "DEC8b10bERR", # "0011111110"
  1315. "DEC8b10bERR", # "0011111111"
  1316. "DEC8b10bERR", # "0100000000"
  1317. "DEC8b10bERR", # "0100000001"
  1318. "DEC8b10bERR", # "0100000010"
  1319. "DEC8b10bERR", # "0100000011"
  1320. "DEC8b10bERR", # "0100000100"
  1321. "DEC8b10bERR", # "0100000101"
  1322. "DEC8b10bERR", # "0100000110"
  1323. "DEC8b10bERR", # "0100000111"
  1324. "DEC8b10bERR", # "0100001000"
  1325. "DEC8b10bERR", # "0100001001"
  1326. "DEC8b10bERR", # "0100001010"
  1327. "010001011", # "0100001011", -D11.4+, [99,68]
  1328. "DEC8b10bERR", # "0100001100"
  1329. "010001101", # "0100001101", -D13.4+, [100,69]
  1330. "010001110", # "0100001110", -D14.4+, [101,70]
  1331. "DEC8b10bERR", # "0100001111"
  1332. "DEC8b10bERR", # "0100010000"
  1333. "DEC8b10bERR", # "0100010001"
  1334. "DEC8b10bERR", # "0100010010"
  1335. "010010011", # "0100010011", -D19.4+, [102,71]
  1336. "DEC8b10bERR", # "0100010100"
  1337. "010010101", # "0100010101", -D21.4+, [103,72]
  1338. "010010110", # "0100010110", -D22.4+, [104,73]
  1339. "010010111", # "0100010111", +D23.4+, [105,74]
  1340. "DEC8b10bERR", # "0100011000"
  1341. "010011001", # "0100011001", -D25.4+, [106,75]
  1342. "010011010", # "0100011010", -D26.4+, [107,76]
  1343. "010011011", # "0100011011", +D27.4+, [108,77]
  1344. "010011100", # "0100011100", -D28.4+, [109,78]
  1345. "010011101", # "0100011101", +D29.4+, [110,79]
  1346. "010011110", # "0100011110", +D30.4+, [111,80]
  1347. "DEC8b10bERR", # "0100011111"
  1348. "DEC8b10bERR", # "0100100000"
  1349. "DEC8b10bERR", # "0100100001"
  1350. "DEC8b10bERR", # "0100100010"
  1351. "010000011", # "0100100011", -D3.4+, [112,81]
  1352. "DEC8b10bERR", # "0100100100"
  1353. "010000101", # "0100100101", -D5.4+, [113,82]
  1354. "010000110", # "0100100110", -D6.4+, [114,83]
  1355. "010001000", # "0100100111", +D8.4+, [115,84]
  1356. "DEC8b10bERR", # "0100101000"
  1357. "010001001", # "0100101001", -D9.4+, [116,85]
  1358. "010001010", # "0100101010", -D10.4+, [117,86]
  1359. "010000100", # "0100101011", +D4.4+, [118,87]
  1360. "010001100", # "0100101100", -D12.4+, [119,88]
  1361. "010000010", # "0100101101", +D2.4+, [120,89]
  1362. "010000001", # "0100101110", +D1.4+, [121,90]
  1363. "DEC8b10bERR", # "0100101111"
  1364. "DEC8b10bERR", # "0100110000"
  1365. "010010001", # "0100110001", -D17.4+, [122,91]
  1366. "010010010", # "0100110010", -D18.4+, [123,92]
  1367. "010011000", # "0100110011", +D24.4+, [124,93]
  1368. "010010100", # "0100110100", -D20.4+, [125,94]
  1369. "010011111", # "0100110101", +D31.4+, [126,95]
  1370. "010010000", # "0100110110", +D16.4+, [127,96]
  1371. "DEC8b10bERR", # "0100110111"
  1372. "010000111", # "0100111000", -D7.4+, [128,97]
  1373. "010000000", # "0100111001", +D0.4+, [129,98]
  1374. "010001111", # "0100111010", +D15.4+, [130,99]
  1375. "DEC8b10bERR", # "0100111011"
  1376. "110011100", # "0100111100", +K28.4+, [131,32]
  1377. "DEC8b10bERR", # "0100111101"
  1378. "DEC8b10bERR", # "0100111110"
  1379. "DEC8b10bERR", # "0100111111"
  1380. "DEC8b10bERR", # "0101000000"
  1381. "DEC8b10bERR", # "0101000001"
  1382. "DEC8b10bERR", # "0101000010"
  1383. "101011100", # "0101000011", -K28.2+, [132,33]
  1384. "DEC8b10bERR", # "0101000100"
  1385. "010101111", # "0101000101", -D15.5+, [133,100]
  1386. "010100000", # "0101000110", -D0.5+, [134,101]
  1387. "010100111", # "0101000111", +D7.5+, [135,102]
  1388. "DEC8b10bERR", # "0101001000"
  1389. "010110000", # "0101001001", -D16.5+, [136,103]
  1390. "010111111", # "0101001010", -D31.5+, [137,104]
  1391. "010101011", # "0101001011", +D11.5+, [138,105]
  1392. "010111000", # "0101001100", -D24.5+, [139,106]
  1393. "010101101", # "0101001101", +D13.5+, [140,107]
  1394. "010101110", # "0101001110", +D14.5+, [141,108]
  1395. "DEC8b10bERR", # "0101001111"
  1396. "DEC8b10bERR", # "0101010000"
  1397. "010100001", # "0101010001", -D1.5+, [142,109]
  1398. "010100010", # "0101010010", -D2.5+, [143,110]
  1399. "010110011", # "0101010011", +D19.5+, [144,111]
  1400. "010100100", # "0101010100", -D4.5+, [145,112]
  1401. "010110101", # "0101010101", +D21.5+, [146,113]
  1402. "010110110", # "0101010110", +D22.5+, [147,114]
  1403. "010110111", # "0101010111", +D23.5-, [148,115]
  1404. "010101000", # "0101011000", -D8.5+, [149,116]
  1405. "010111001", # "0101011001", +D25.5+, [150,117]
  1406. "010111010", # "0101011010", +D26.5+, [151,118]
  1407. "010111011", # "0101011011", +D27.5-, [152,119]
  1408. "010111100", # "0101011100", +D28.5+, [153,120]
  1409. "010111101", # "0101011101", +D29.5-, [154,121]
  1410. "010111110", # "0101011110", +D30.5-, [155,122]
  1411. "DEC8b10bERR", # "0101011111"
  1412. "DEC8b10bERR", # "0101100000"
  1413. "010111110", # "0101100001", -D30.5+, [156,123]
  1414. "010111101", # "0101100010", -D29.5+, [157,124]
  1415. "010100011", # "0101100011", +D3.5+, [158,125]
  1416. "010111011", # "0101100100", -D27.5+, [159,126]
  1417. "010100101", # "0101100101", +D5.5+, [160,127]
  1418. "010100110", # "0101100110", +D6.5+, [161,128]
  1419. "010101000", # "0101100111", +D8.5-, [162,129]
  1420. "010110111", # "0101101000", -D23.5+, [163,130]
  1421. "010101001", # "0101101001", +D9.5+, [164,131]
  1422. "010101010", # "0101101010", +D10.5+, [165,132]
  1423. "010100100", # "0101101011", +D4.5-, [166,133]
  1424. "010101100", # "0101101100", +D12.5+, [167,134]
  1425. "010100010", # "0101101101", +D2.5-, [168,135]
  1426. "010100001", # "0101101110", +D1.5-, [169,136]
  1427. "DEC8b10bERR", # "0101101111"
  1428. "DEC8b10bERR", # "0101110000"
  1429. "010110001", # "0101110001", +D17.5+, [170,137]
  1430. "010110010", # "0101110010", +D18.5+, [171,138]
  1431. "010111000", # "0101110011", +D24.5-, [172,139]
  1432. "010110100", # "0101110100", +D20.5+, [173,140]
  1433. "010111111", # "0101110101", +D31.5-, [174,141]
  1434. "010110000", # "0101110110", +D16.5-, [175,142]
  1435. "DEC8b10bERR", # "0101110111"
  1436. "010100111", # "0101111000", -D7.5-, [176,143]
  1437. "010100000", # "0101111001", +D0.5-, [177,144]
  1438. "010101111", # "0101111010", +D15.5-, [178,145]
  1439. "DEC8b10bERR", # "0101111011"
  1440. "110111100", # "0101111100", +K28.5-, [179,34]
  1441. "DEC8b10bERR", # "0101111101"
  1442. "DEC8b10bERR", # "0101111110"
  1443. "DEC8b10bERR", # "0101111111"
  1444. "DEC8b10bERR", # "0110000000"
  1445. "DEC8b10bERR", # "0110000001"
  1446. "DEC8b10bERR", # "0110000010"
  1447. "100111100", # "0110000011", -K28.1+, [180,35]
  1448. "DEC8b10bERR", # "0110000100"
  1449. "011001111", # "0110000101", -D15.6+, [181,146]
  1450. "011000000", # "0110000110", -D0.6+, [182,147]
  1451. "011000111", # "0110000111", +D7.6+, [183,148]
  1452. "DEC8b10bERR", # "0110001000"
  1453. "011010000", # "0110001001", -D16.6+, [184,149]
  1454. "011011111", # "0110001010", -D31.6+, [185,150]
  1455. "011001011", # "0110001011", +D11.6+, [186,151]
  1456. "011011000", # "0110001100", -D24.6+, [187,152]
  1457. "011001101", # "0110001101", +D13.6+, [188,153]
  1458. "011001110", # "0110001110", +D14.6+, [189,154]
  1459. "DEC8b10bERR", # "0110001111"
  1460. "DEC8b10bERR", # "0110010000"
  1461. "011000001", # "0110010001", -D1.6+, [190,155]
  1462. "011000010", # "0110010010", -D2.6+, [191,156]
  1463. "011010011", # "0110010011", +D19.6+, [192,157]
  1464. "011000100", # "0110010100", -D4.6+, [193,158]
  1465. "011010101", # "0110010101", +D21.6+, [194,159]
  1466. "011010110", # "0110010110", +D22.6+, [195,160]
  1467. "011010111", # "0110010111", +D23.6-, [196,161]
  1468. "011001000", # "0110011000", -D8.6+, [197,162]
  1469. "011011001", # "0110011001", +D25.6+, [198,163]
  1470. "011011010", # "0110011010", +D26.6+, [199,164]
  1471. "011011011", # "0110011011", +D27.6-, [200,165]
  1472. "011011100", # "0110011100", +D28.6+, [201,166]
  1473. "011011101", # "0110011101", +D29.6-, [202,167]
  1474. "011011110", # "0110011110", +D30.6-, [203,168]
  1475. "DEC8b10bERR", # "0110011111"
  1476. "DEC8b10bERR", # "0110100000"
  1477. "011011110", # "0110100001", -D30.6+, [204,169]
  1478. "011011101", # "0110100010", -D29.6+, [205,170]
  1479. "011000011", # "0110100011", +D3.6+, [206,171]
  1480. "011011011", # "0110100100", -D27.6+, [207,172]
  1481. "011000101", # "0110100101", +D5.6+, [208,173]
  1482. "011000110", # "0110100110", +D6.6+, [209,174]
  1483. "011001000", # "0110100111", +D8.6-, [210,175]
  1484. "011010111", # "0110101000", -D23.6+, [211,176]
  1485. "011001001", # "0110101001", +D9.6+, [212,177]
  1486. "011001010", # "0110101010", +D10.6+, [213,178]
  1487. "011000100", # "0110101011", +D4.6-, [214,179]
  1488. "011001100", # "0110101100", +D12.6+, [215,180]
  1489. "011000010", # "0110101101", +D2.6-, [216,181]
  1490. "011000001", # "0110101110", +D1.6-, [217,182]
  1491. "DEC8b10bERR", # "0110101111"
  1492. "DEC8b10bERR", # "0110110000"
  1493. "011010001", # "0110110001", +D17.6+, [218,183]
  1494. "011010010", # "0110110010", +D18.6+, [219,184]
  1495. "011011000", # "0110110011", +D24.6-, [220,185]
  1496. "011010100", # "0110110100", +D20.6+, [221,186]
  1497. "011011111", # "0110110101", +D31.6-, [222,187]
  1498. "011010000", # "0110110110", +D16.6-, [223,188]
  1499. "DEC8b10bERR", # "0110110111"
  1500. "011000111", # "0110111000", -D7.6-, [224,189]
  1501. "011000000", # "0110111001", +D0.6-, [225,190]
  1502. "011001111", # "0110111010", +D15.6-, [226,191]
  1503. "DEC8b10bERR", # "0110111011"
  1504. "111011100", # "0110111100", +K28.6-, [227,36]
  1505. "DEC8b10bERR", # "0110111101"
  1506. "DEC8b10bERR", # "0110111110"
  1507. "DEC8b10bERR", # "0110111111"
  1508. "DEC8b10bERR", # "0111000000"
  1509. "DEC8b10bERR", # "0111000001"
  1510. "DEC8b10bERR", # "0111000010"
  1511. "DEC8b10bERR", # "0111000011"
  1512. "DEC8b10bERR", # "0111000100"
  1513. "011101111", # "0111000101", -D15.7-, [228,192]
  1514. "011100000", # "0111000110", -D0.7-, [229,193]
  1515. "011100111", # "0111000111", +D7.7-, [230,194]
  1516. "DEC8b10bERR", # "0111001000"
  1517. "011110000", # "0111001001", -D16.7-, [231,195]
  1518. "011111111", # "0111001010", -D31.7-, [232,196]
  1519. "011101011", # "0111001011", +D11.7-, [233,197]
  1520. "011111000", # "0111001100", -D24.7-, [234,198]
  1521. "011101101", # "0111001101", +D13.7-, [235,199]
  1522. "011101110", # "0111001110", +D14.7-, [236,200]
  1523. "DEC8b10bERR", # "0111001111"
  1524. "DEC8b10bERR", # "0111010000"
  1525. "011100001", # "0111010001", -D1.7-, [237,201]
  1526. "011100010", # "0111010010", -D2.7-, [238,202]
  1527. "011110011", # "0111010011", +D19.7-, [239,203]
  1528. "011100100", # "0111010100", -D4.7-, [240,204]
  1529. "011110101", # "0111010101", +D21.7-, [241,205]
  1530. "011110110", # "0111010110", +D22.7-, [242,206]
  1531. "DEC8b10bERR", # "0111010111"
  1532. "011101000", # "0111011000", -D8.7-, [243,207]
  1533. "011111001", # "0111011001", +D25.7-, [244,208]
  1534. "011111010", # "0111011010", +D26.7-, [245,209]
  1535. "DEC8b10bERR", # "0111011011"
  1536. "011111100", # "0111011100", +D28.7-, [246,210]
  1537. "DEC8b10bERR", # "0111011101"
  1538. "DEC8b10bERR", # "0111011110"
  1539. "DEC8b10bERR", # "0111011111"
  1540. "DEC8b10bERR", # "0111100000"
  1541. "011111110", # "0111100001", -D30.7-, [247,211]
  1542. "011111101", # "0111100010", -D29.7-, [248,212]
  1543. "011100011", # "0111100011", +D3.7-, [249,213]
  1544. "011111011", # "0111100100", -D27.7-, [250,214]
  1545. "011100101", # "0111100101", +D5.7-, [251,215]
  1546. "011100110", # "0111100110", +D6.7-, [252,216]
  1547. "DEC8b10bERR", # "0111100111"
  1548. "011110111", # "0111101000", -D23.7-, [253,217]
  1549. "011101001", # "0111101001", +D9.7-, [254,218]
  1550. "011101010", # "0111101010", +D10.7-, [255,219]
  1551. "DEC8b10bERR", # "0111101011"
  1552. "011101100", # "0111101100", +D12.7-, [256,220]
  1553. "DEC8b10bERR", # "0111101101"
  1554. "DEC8b10bERR", # "0111101110"
  1555. "DEC8b10bERR", # "0111101111"
  1556. "DEC8b10bERR", # "0111110000"
  1557. "DEC8b10bERR", # "0111110001"
  1558. "DEC8b10bERR", # "0111110010"
  1559. "DEC8b10bERR", # "0111110011"
  1560. "DEC8b10bERR", # "0111110100"
  1561. "DEC8b10bERR", # "0111110101"
  1562. "DEC8b10bERR", # "0111110110"
  1563. "DEC8b10bERR", # "0111110111"
  1564. "DEC8b10bERR", # "0111111000"
  1565. "DEC8b10bERR", # "0111111001"
  1566. "DEC8b10bERR", # "0111111010"
  1567. "DEC8b10bERR", # "0111111011"
  1568. "DEC8b10bERR", # "0111111100"
  1569. "DEC8b10bERR", # "0111111101"
  1570. "DEC8b10bERR", # "0111111110"
  1571. "DEC8b10bERR", # "0111111111"
  1572. "DEC8b10bERR", # "1000000000"
  1573. "DEC8b10bERR", # "1000000001"
  1574. "DEC8b10bERR", # "1000000010"
  1575. "DEC8b10bERR", # "1000000011"
  1576. "DEC8b10bERR", # "1000000100"
  1577. "DEC8b10bERR", # "1000000101"
  1578. "DEC8b10bERR", # "1000000110"
  1579. "DEC8b10bERR", # "1000000111"
  1580. "DEC8b10bERR", # "1000001000"
  1581. "DEC8b10bERR", # "1000001001"
  1582. "DEC8b10bERR", # "1000001010"
  1583. "DEC8b10bERR", # "1000001011"
  1584. "DEC8b10bERR", # "1000001100"
  1585. "DEC8b10bERR", # "1000001101"
  1586. "DEC8b10bERR", # "1000001110"
  1587. "DEC8b10bERR", # "1000001111"
  1588. "DEC8b10bERR", # "1000010000"
  1589. "DEC8b10bERR", # "1000010001"
  1590. "DEC8b10bERR", # "1000010010"
  1591. "011110011", # "1000010011", -D19.7+, [257,221]
  1592. "DEC8b10bERR", # "1000010100"
  1593. "011110101", # "1000010101", -D21.7+, [258,222]
  1594. "011110110", # "1000010110", -D22.7+, [259,223]
  1595. "011110111", # "1000010111", +D23.7+, [260,224]
  1596. "DEC8b10bERR", # "1000011000"
  1597. "011111001", # "1000011001", -D25.7+, [261,225]
  1598. "011111010", # "1000011010", -D26.7+, [262,226]
  1599. "011111011", # "1000011011", +D27.7+, [263,227]
  1600. "011111100", # "1000011100", -D28.7+, [264,228]
  1601. "011111101", # "1000011101", +D29.7+, [265,229]
  1602. "011111110", # "1000011110", +D30.7+, [266,230]
  1603. "DEC8b10bERR", # "1000011111"
  1604. "DEC8b10bERR", # "1000100000"
  1605. "DEC8b10bERR", # "1000100001"
  1606. "DEC8b10bERR", # "1000100010"
  1607. "011100011", # "1000100011", -D3.7+, [267,231]
  1608. "DEC8b10bERR", # "1000100100"
  1609. "011100101", # "1000100101", -D5.7+, [268,232]
  1610. "011100110", # "1000100110", -D6.7+, [269,233]
  1611. "011101000", # "1000100111", +D8.7+, [270,234]
  1612. "DEC8b10bERR", # "1000101000"
  1613. "011101001", # "1000101001", -D9.7+, [271,235]
  1614. "011101010", # "1000101010", -D10.7+, [272,236]
  1615. "011100100", # "1000101011", +D4.7+, [273,237]
  1616. "011101100", # "1000101100", -D12.7+, [274,238]
  1617. "011100010", # "1000101101", +D2.7+, [275,239]
  1618. "011100001", # "1000101110", +D1.7+, [276,240]
  1619. "DEC8b10bERR", # "1000101111"
  1620. "DEC8b10bERR", # "1000110000"
  1621. "011110001", # "1000110001", -D17.7+, [277,241]
  1622. "011110010", # "1000110010", -D18.7+, [278,242]
  1623. "011111000", # "1000110011", +D24.7+, [279,243]
  1624. "011110100", # "1000110100", -D20.7+, [280,244]
  1625. "011111111", # "1000110101", +D31.7+, [281,245]
  1626. "011110000", # "1000110110", +D16.7+, [282,246]
  1627. "DEC8b10bERR", # "1000110111"
  1628. "011100111", # "1000111000", -D7.7+, [283,247]
  1629. "011100000", # "1000111001", +D0.7+, [284,248]
  1630. "011101111", # "1000111010", +D15.7+, [285,249]
  1631. "DEC8b10bERR", # "1000111011"
  1632. "DEC8b10bERR", # "1000111100"
  1633. "DEC8b10bERR", # "1000111101"
  1634. "DEC8b10bERR", # "1000111110"
  1635. "DEC8b10bERR", # "1000111111"
  1636. "DEC8b10bERR", # "1001000000"
  1637. "DEC8b10bERR", # "1001000001"
  1638. "DEC8b10bERR", # "1001000010"
  1639. "111011100", # "1001000011", -K28.6+, [286,37]
  1640. "DEC8b10bERR", # "1001000100"
  1641. "000101111", # "1001000101", -D15.1+, [287,250]
  1642. "000100000", # "1001000110", -D0.1+, [288,251]
  1643. "000100111", # "1001000111", +D7.1+, [289,252]
  1644. "DEC8b10bERR", # "1001001000"
  1645. "000110000", # "1001001001", -D16.1+, [290,253]
  1646. "000111111", # "1001001010", -D31.1+, [291,254]
  1647. "000101011", # "1001001011", +D11.1+, [292,255]
  1648. "000111000", # "1001001100", -D24.1+, [293,256]
  1649. "000101101", # "1001001101", +D13.1+, [294,257]
  1650. "000101110", # "1001001110", +D14.1+, [295,258]
  1651. "DEC8b10bERR", # "1001001111"
  1652. "DEC8b10bERR", # "1001010000"
  1653. "000100001", # "1001010001", -D1.1+, [296,259]
  1654. "000100010", # "1001010010", -D2.1+, [297,260]
  1655. "000110011", # "1001010011", +D19.1+, [298,261]
  1656. "000100100", # "1001010100", -D4.1+, [299,262]
  1657. "000110101", # "1001010101", +D21.1+, [300,263]
  1658. "000110110", # "1001010110", +D22.1+, [301,264]
  1659. "000110111", # "1001010111", +D23.1-, [302,265]
  1660. "000101000", # "1001011000", -D8.1+, [303,266]
  1661. "000111001", # "1001011001", +D25.1+, [304,267]
  1662. "000111010", # "1001011010", +D26.1+, [305,268]
  1663. "000111011", # "1001011011", +D27.1-, [306,269]
  1664. "000111100", # "1001011100", +D28.1+, [307,270]
  1665. "000111101", # "1001011101", +D29.1-, [308,271]
  1666. "000111110", # "1001011110", +D30.1-, [309,272]
  1667. "DEC8b10bERR", # "1001011111"
  1668. "DEC8b10bERR", # "1001100000"
  1669. "000111110", # "1001100001", -D30.1+, [310,273]
  1670. "000111101", # "1001100010", -D29.1+, [311,274]
  1671. "000100011", # "1001100011", +D3.1+, [312,275]
  1672. "000111011", # "1001100100", -D27.1+, [313,276]
  1673. "000100101", # "1001100101", +D5.1+, [314,277]
  1674. "000100110", # "1001100110", +D6.1+, [315,278]
  1675. "000101000", # "1001100111", +D8.1-, [316,279]
  1676. "000110111", # "1001101000", -D23.1+, [317,280]
  1677. "000101001", # "1001101001", +D9.1+, [318,281]
  1678. "000101010", # "1001101010", +D10.1+, [319,282]
  1679. "000100100", # "1001101011", +D4.1-, [320,283]
  1680. "000101100", # "1001101100", +D12.1+, [321,284]
  1681. "000100010", # "1001101101", +D2.1-, [322,285]
  1682. "000100001", # "1001101110", +D1.1-, [323,286]
  1683. "DEC8b10bERR", # "1001101111"
  1684. "DEC8b10bERR", # "1001110000"
  1685. "000110001", # "1001110001", +D17.1+, [324,287]
  1686. "000110010", # "1001110010", +D18.1+, [325,288]
  1687. "000111000", # "1001110011", +D24.1-, [326,289]
  1688. "000110100", # "1001110100", +D20.1+, [327,290]
  1689. "000111111", # "1001110101", +D31.1-, [328,291]
  1690. "000110000", # "1001110110", +D16.1-, [329,292]
  1691. "DEC8b10bERR", # "1001110111"
  1692. "000100111", # "1001111000", -D7.1-, [330,293]
  1693. "000100000", # "1001111001", +D0.1-, [331,294]
  1694. "000101111", # "1001111010", +D15.1-, [332,295]
  1695. "DEC8b10bERR", # "1001111011"
  1696. "100111100", # "1001111100", +K28.1-, [333,38]
  1697. "DEC8b10bERR", # "1001111101"
  1698. "DEC8b10bERR", # "1001111110"
  1699. "DEC8b10bERR", # "1001111111"
  1700. "DEC8b10bERR", # "1010000000"
  1701. "DEC8b10bERR", # "1010000001"
  1702. "DEC8b10bERR", # "1010000010"
  1703. "110111100", # "1010000011", -K28.5+, [334,39]
  1704. "DEC8b10bERR", # "1010000100"
  1705. "001001111", # "1010000101", -D15.2+, [335,296]
  1706. "001000000", # "1010000110", -D0.2+, [336,297]
  1707. "001000111", # "1010000111", +D7.2+, [337,298]
  1708. "DEC8b10bERR", # "1010001000"
  1709. "001010000", # "1010001001", -D16.2+, [338,299]
  1710. "001011111", # "1010001010", -D31.2+, [339,300]
  1711. "001001011", # "1010001011", +D11.2+, [340,301]
  1712. "001011000", # "1010001100", -D24.2+, [341,302]
  1713. "001001101", # "1010001101", +D13.2+, [342,303]
  1714. "001001110", # "1010001110", +D14.2+, [343,304]
  1715. "DEC8b10bERR", # "1010001111"
  1716. "DEC8b10bERR", # "1010010000"
  1717. "001000001", # "1010010001", -D1.2+, [344,305]
  1718. "001000010", # "1010010010", -D2.2+, [345,306]
  1719. "001010011", # "1010010011", +D19.2+, [346,307]
  1720. "001000100", # "1010010100", -D4.2+, [347,308]
  1721. "001010101", # "1010010101", +D21.2+, [348,309]
  1722. "001010110", # "1010010110", +D22.2+, [349,310]
  1723. "001010111", # "1010010111", +D23.2-, [350,311]
  1724. "001001000", # "1010011000", -D8.2+, [351,312]
  1725. "001011001", # "1010011001", +D25.2+, [352,313]
  1726. "001011010", # "1010011010", +D26.2+, [353,314]
  1727. "001011011", # "1010011011", +D27.2-, [354,315]
  1728. "001011100", # "1010011100", +D28.2+, [355,316]
  1729. "001011101", # "1010011101", +D29.2-, [356,317]
  1730. "001011110", # "1010011110", +D30.2-, [357,318]
  1731. "DEC8b10bERR", # "1010011111"
  1732. "DEC8b10bERR", # "1010100000"
  1733. "001011110", # "1010100001", -D30.2+, [358,319]
  1734. "001011101", # "1010100010", -D29.2+, [359,320]
  1735. "001000011", # "1010100011", +D3.2+, [360,321]
  1736. "001011011", # "1010100100", -D27.2+, [361,322]
  1737. "001000101", # "1010100101", +D5.2+, [362,323]
  1738. "001000110", # "1010100110", +D6.2+, [363,324]
  1739. "001001000", # "1010100111", +D8.2-, [364,325]
  1740. "001010111", # "1010101000", -D23.2+, [365,326]
  1741. "001001001", # "1010101001", +D9.2+, [366,327]
  1742. "001001010", # "1010101010", +D10.2+, [367,328]
  1743. "001000100", # "1010101011", +D4.2-, [368,329]
  1744. "001001100", # "1010101100", +D12.2+, [369,330]
  1745. "001000010", # "1010101101", +D2.2-, [370,331]
  1746. "001000001", # "1010101110", +D1.2-, [371,332]
  1747. "DEC8b10bERR", # "1010101111"
  1748. "DEC8b10bERR", # "1010110000"
  1749. "001010001", # "1010110001", +D17.2+, [372,333]
  1750. "001010010", # "1010110010", +D18.2+, [373,334]
  1751. "001011000", # "1010110011", +D24.2-, [374,335]
  1752. "001010100", # "1010110100", +D20.2+, [375,336]
  1753. "001011111", # "1010110101", +D31.2-, [376,337]
  1754. "001010000", # "1010110110", +D16.2-, [377,338]
  1755. "DEC8b10bERR", # "1010110111"
  1756. "001000111", # "1010111000", -D7.2-, [378,339]
  1757. "001000000", # "1010111001", +D0.2-, [379,340]
  1758. "001001111", # "1010111010", +D15.2-, [380,341]
  1759. "DEC8b10bERR", # "1010111011"
  1760. "101011100", # "1010111100", +K28.2-, [381,40]
  1761. "DEC8b10bERR", # "1010111101"
  1762. "DEC8b10bERR", # "1010111110"
  1763. "DEC8b10bERR", # "1010111111"
  1764. "DEC8b10bERR", # "1011000000"
  1765. "DEC8b10bERR", # "1011000001"
  1766. "DEC8b10bERR", # "1011000010"
  1767. "110011100", # "1011000011", -K28.4-, [382,41]
  1768. "DEC8b10bERR", # "1011000100"
  1769. "010001111", # "1011000101", -D15.4-, [383,342]
  1770. "010000000", # "1011000110", -D0.4-, [384,343]
  1771. "010000111", # "1011000111", +D7.4-, [385,344]
  1772. "DEC8b10bERR", # "1011001000"
  1773. "010010000", # "1011001001", -D16.4-, [386,345]
  1774. "010011111", # "1011001010", -D31.4-, [387,346]
  1775. "010001011", # "1011001011", +D11.4-, [388,347]
  1776. "010011000", # "1011001100", -D24.4-, [389,348]
  1777. "010001101", # "1011001101", +D13.4-, [390,349]
  1778. "010001110", # "1011001110", +D14.4-, [391,350]
  1779. "DEC8b10bERR", # "1011001111"
  1780. "DEC8b10bERR", # "1011010000"
  1781. "010000001", # "1011010001", -D1.4-, [392,351]
  1782. "010000010", # "1011010010", -D2.4-, [393,352]
  1783. "010010011", # "1011010011", +D19.4-, [394,353]
  1784. "010000100", # "1011010100", -D4.4-, [395,354]
  1785. "010010101", # "1011010101", +D21.4-, [396,355]
  1786. "010010110", # "1011010110", +D22.4-, [397,356]
  1787. "DEC8b10bERR", # "1011010111"
  1788. "010001000", # "1011011000", -D8.4-, [398,357]
  1789. "010011001", # "1011011001", +D25.4-, [399,358]
  1790. "010011010", # "1011011010", +D26.4-, [400,359]
  1791. "DEC8b10bERR", # "1011011011"
  1792. "010011100", # "1011011100", +D28.4-, [401,360]
  1793. "DEC8b10bERR", # "1011011101"
  1794. "DEC8b10bERR", # "1011011110"
  1795. "DEC8b10bERR", # "1011011111"
  1796. "DEC8b10bERR", # "1011100000"
  1797. "010011110", # "1011100001", -D30.4-, [402,361]
  1798. "010011101", # "1011100010", -D29.4-, [403,362]
  1799. "010000011", # "1011100011", +D3.4-, [404,363]
  1800. "010011011", # "1011100100", -D27.4-, [405,364]
  1801. "010000101", # "1011100101", +D5.4-, [406,365]
  1802. "010000110", # "1011100110", +D6.4-, [407,366]
  1803. "DEC8b10bERR", # "1011100111"
  1804. "010010111", # "1011101000", -D23.4-, [408,367]
  1805. "010001001", # "1011101001", +D9.4-, [409,368]
  1806. "010001010", # "1011101010", +D10.4-, [410,369]
  1807. "DEC8b10bERR", # "1011101011"
  1808. "010001100", # "1011101100", +D12.4-, [411,370]
  1809. "DEC8b10bERR", # "1011101101"
  1810. "DEC8b10bERR", # "1011101110"
  1811. "DEC8b10bERR", # "1011101111"
  1812. "DEC8b10bERR", # "1011110000"
  1813. "010010001", # "1011110001", +D17.4-, [412,371]
  1814. "010010010", # "1011110010", +D18.4-, [413,372]
  1815. "DEC8b10bERR", # "1011110011"
  1816. "010010100", # "1011110100", +D20.4-, [414,373]
  1817. "DEC8b10bERR", # "1011110101"
  1818. "DEC8b10bERR", # "1011110110"
  1819. "DEC8b10bERR", # "1011110111"
  1820. "DEC8b10bERR", # "1011111000"
  1821. "DEC8b10bERR", # "1011111001"
  1822. "DEC8b10bERR", # "1011111010"
  1823. "DEC8b10bERR", # "1011111011"
  1824. "DEC8b10bERR", # "1011111100"
  1825. "DEC8b10bERR", # "1011111101"
  1826. "DEC8b10bERR", # "1011111110"
  1827. "DEC8b10bERR", # "1011111111"
  1828. "DEC8b10bERR", # "1100000000"
  1829. "DEC8b10bERR", # "1100000001"
  1830. "DEC8b10bERR", # "1100000010"
  1831. "DEC8b10bERR", # "1100000011"
  1832. "DEC8b10bERR", # "1100000100"
  1833. "DEC8b10bERR", # "1100000101"
  1834. "DEC8b10bERR", # "1100000110"
  1835. "DEC8b10bERR", # "1100000111"
  1836. "DEC8b10bERR", # "1100001000"
  1837. "DEC8b10bERR", # "1100001001"
  1838. "DEC8b10bERR", # "1100001010"
  1839. "001101011", # "1100001011", -D11.3-, [415,374]
  1840. "DEC8b10bERR", # "1100001100"
  1841. "001101101", # "1100001101", -D13.3-, [416,375]
  1842. "001101110", # "1100001110", -D14.3-, [417,376]
  1843. "DEC8b10bERR", # "1100001111"
  1844. "DEC8b10bERR", # "1100010000"
  1845. "DEC8b10bERR", # "1100010001"
  1846. "DEC8b10bERR", # "1100010010"
  1847. "001110011", # "1100010011", -D19.3-, [418,377]
  1848. "DEC8b10bERR", # "1100010100"
  1849. "001110101", # "1100010101", -D21.3-, [419,378]
  1850. "001110110", # "1100010110", -D22.3-, [420,379]
  1851. "001110111", # "1100010111", +D23.3-, [421,380]
  1852. "DEC8b10bERR", # "1100011000"
  1853. "001111001", # "1100011001", -D25.3-, [422,381]
  1854. "001111010", # "1100011010", -D26.3-, [423,382]
  1855. "001111011", # "1100011011", +D27.3-, [424,383]
  1856. "001111100", # "1100011100", -D28.3-, [425,384]
  1857. "001111101", # "1100011101", +D29.3-, [426,385]
  1858. "001111110", # "1100011110", +D30.3-, [427,386]
  1859. "DEC8b10bERR", # "1100011111"
  1860. "DEC8b10bERR", # "1100100000"
  1861. "DEC8b10bERR", # "1100100001"
  1862. "DEC8b10bERR", # "1100100010"
  1863. "001100011", # "1100100011", -D3.3-, [428,387]
  1864. "DEC8b10bERR", # "1100100100"
  1865. "001100101", # "1100100101", -D5.3-, [429,388]
  1866. "001100110", # "1100100110", -D6.3-, [430,389]
  1867. "001101000", # "1100100111", +D8.3-, [431,390]
  1868. "DEC8b10bERR", # "1100101000"
  1869. "001101001", # "1100101001", -D9.3-, [432,391]
  1870. "001101010", # "1100101010", -D10.3-, [433,392]
  1871. "001100100", # "1100101011", +D4.3-, [434,393]
  1872. "001101100", # "1100101100", -D12.3-, [435,394]
  1873. "001100010", # "1100101101", +D2.3-, [436,395]
  1874. "001100001", # "1100101110", +D1.3-, [437,396]
  1875. "DEC8b10bERR", # "1100101111"
  1876. "DEC8b10bERR", # "1100110000"
  1877. "001110001", # "1100110001", -D17.3-, [438,397]
  1878. "001110010", # "1100110010", -D18.3-, [439,398]
  1879. "001111000", # "1100110011", +D24.3-, [440,399]
  1880. "001110100", # "1100110100", -D20.3-, [441,400]
  1881. "001111111", # "1100110101", +D31.3-, [442,401]
  1882. "001110000", # "1100110110", +D16.3-, [443,402]
  1883. "DEC8b10bERR", # "1100110111"
  1884. "001100111", # "1100111000", -D7.3-, [444,403]
  1885. "001100000", # "1100111001", +D0.3-, [445,404]
  1886. "001101111", # "1100111010", +D15.3-, [446,405]
  1887. "DEC8b10bERR", # "1100111011"
  1888. "101111100", # "1100111100", +K28.3-, [447,42]
  1889. "DEC8b10bERR", # "1100111101"
  1890. "DEC8b10bERR", # "1100111110"
  1891. "DEC8b10bERR", # "1100111111"
  1892. "DEC8b10bERR", # "1101000000"
  1893. "DEC8b10bERR", # "1101000001"
  1894. "DEC8b10bERR", # "1101000010"
  1895. "100011100", # "1101000011", -K28.0-, [448,43]
  1896. "DEC8b10bERR", # "1101000100"
  1897. "000001111", # "1101000101", -D15.0-, [449,406]
  1898. "000000000", # "1101000110", -D0.0-, [450,407]
  1899. "000000111", # "1101000111", +D7.0-, [451,408]
  1900. "DEC8b10bERR", # "1101001000"
  1901. "000010000", # "1101001001", -D16.0-, [452,409]
  1902. "000011111", # "1101001010", -D31.0-, [453,410]
  1903. "000001011", # "1101001011", +D11.0-, [454,411]
  1904. "000011000", # "1101001100", -D24.0-, [455,412]
  1905. "000001101", # "1101001101", +D13.0-, [456,413]
  1906. "000001110", # "1101001110", +D14.0-, [457,414]
  1907. "DEC8b10bERR", # "1101001111"
  1908. "DEC8b10bERR", # "1101010000"
  1909. "000000001", # "1101010001", -D1.0-, [458,415]
  1910. "000000010", # "1101010010", -D2.0-, [459,416]
  1911. "000010011", # "1101010011", +D19.0-, [460,417]
  1912. "000000100", # "1101010100", -D4.0-, [461,418]
  1913. "000010101", # "1101010101", +D21.0-, [462,419]
  1914. "000010110", # "1101010110", +D22.0-, [463,420]
  1915. "DEC8b10bERR", # "1101010111"
  1916. "000001000", # "1101011000", -D8.0-, [464,421]
  1917. "000011001", # "1101011001", +D25.0-, [465,422]
  1918. "000011010", # "1101011010", +D26.0-, [466,423]
  1919. "DEC8b10bERR", # "1101011011"
  1920. "000011100", # "1101011100", +D28.0-, [467,424]
  1921. "DEC8b10bERR", # "1101011101"
  1922. "DEC8b10bERR", # "1101011110"
  1923. "DEC8b10bERR", # "1101011111"
  1924. "DEC8b10bERR", # "1101100000"
  1925. "000011110", # "1101100001", -D30.0-, [468,425]
  1926. "000011101", # "1101100010", -D29.0-, [469,426]
  1927. "000000011", # "1101100011", +D3.0-, [470,427]
  1928. "000011011", # "1101100100", -D27.0-, [471,428]
  1929. "000000101", # "1101100101", +D5.0-, [472,429]
  1930. "000000110", # "1101100110", +D6.0-, [473,430]
  1931. "DEC8b10bERR", # "1101100111"
  1932. "000010111", # "1101101000", -D23.0-, [474,431]
  1933. "000001001", # "1101101001", +D9.0-, [475,432]
  1934. "000001010", # "1101101010", +D10.0-, [476,433]
  1935. "DEC8b10bERR", # "1101101011"
  1936. "000001100", # "1101101100", +D12.0-, [477,434]
  1937. "DEC8b10bERR", # "1101101101"
  1938. "DEC8b10bERR", # "1101101110"
  1939. "DEC8b10bERR", # "1101101111"
  1940. "DEC8b10bERR", # "1101110000"
  1941. "000010001", # "1101110001", +D17.0-, [478,435]
  1942. "000010010", # "1101110010", +D18.0-, [479,436]
  1943. "DEC8b10bERR", # "1101110011"
  1944. "000010100", # "1101110100", +D20.0-, [480,437]
  1945. "DEC8b10bERR", # "1101110101"
  1946. "DEC8b10bERR", # "1101110110"
  1947. "DEC8b10bERR", # "1101110111"
  1948. "DEC8b10bERR", # "1101111000"
  1949. "DEC8b10bERR", # "1101111001"
  1950. "DEC8b10bERR", # "1101111010"
  1951. "DEC8b10bERR", # "1101111011"
  1952. "DEC8b10bERR", # "1101111100"
  1953. "DEC8b10bERR", # "1101111101"
  1954. "DEC8b10bERR", # "1101111110"
  1955. "DEC8b10bERR", # "1101111111"
  1956. "DEC8b10bERR", # "1110000000"
  1957. "DEC8b10bERR", # "1110000001"
  1958. "DEC8b10bERR", # "1110000010"
  1959. "111111100", # "1110000011", -K28.7-, [481,44]
  1960. "DEC8b10bERR", # "1110000100"
  1961. "111101111", # "1110000101", -K15.7-, [482,45]
  1962. "111100000", # "1110000110", -K0.7-, [483,46]
  1963. "111100111", # "1110000111", +K7.7-, [484,47]
  1964. "DEC8b10bERR", # "1110001000"
  1965. "111110000", # "1110001001", -K16.7-, [485,48]
  1966. "111111111", # "1110001010", -K31.7-, [486,49]
  1967. "111101011", # "1110001011", +K11.7-, [487,50]
  1968. "111111000", # "1110001100", -K24.7-, [488,51]
  1969. "111101101", # "1110001101", +K13.7-, [489,52]
  1970. "111101110", # "1110001110", +K14.7-, [490,53]
  1971. "DEC8b10bERR", # "1110001111"
  1972. "DEC8b10bERR", # "1110010000"
  1973. "111100001", # "1110010001", -K1.7-, [491,54]
  1974. "111100010", # "1110010010", -K2.7-, [492,55]
  1975. "111110011", # "1110010011", +K19.7-, [493,56]
  1976. "111100100", # "1110010100", -K4.7-, [494,57]
  1977. "111110101", # "1110010101", +K21.7-, [495,58]
  1978. "111110110", # "1110010110", +K22.7-, [496,59]
  1979. "DEC8b10bERR", # "1110010111"
  1980. "111101000", # "1110011000", -K8.7-, [497,60]
  1981. "111111001", # "1110011001", +K25.7-, [498,61]
  1982. "111111010", # "1110011010", +K26.7-, [499,62]
  1983. "DEC8b10bERR", # "1110011011"
  1984. "DEC8b10bERR", # "1110011100"
  1985. "DEC8b10bERR", # "1110011101"
  1986. "DEC8b10bERR", # "1110011110"
  1987. "DEC8b10bERR", # "1110011111"
  1988. "DEC8b10bERR", # "1110100000"
  1989. "111111110", # "1110100001", -K30.7-, [500,63]
  1990. "111111101", # "1110100010", -K29.7-, [501,64]
  1991. "111100011", # "1110100011", +K3.7-, [502,65]
  1992. "111111011", # "1110100100", -K27.7-, [503,66]
  1993. "111100101", # "1110100101", +K5.7-, [504,67]
  1994. "111100110", # "1110100110", +K6.7-, [505,68]
  1995. "DEC8b10bERR", # "1110100111"
  1996. "111110111", # "1110101000", -K23.7-, [506,69]
  1997. "111101001", # "1110101001", +K9.7-, [507,70]
  1998. "111101010", # "1110101010", +K10.7-, [508,71]
  1999. "DEC8b10bERR", # "1110101011"
  2000. "111101100", # "1110101100", +K12.7-, [509,72]
  2001. "DEC8b10bERR", # "1110101101"
  2002. "DEC8b10bERR", # "1110101110"
  2003. "DEC8b10bERR", # "1110101111"
  2004. "DEC8b10bERR", # "1110110000"
  2005. "011110001", # "1110110001", +D17.7-, [510,438]
  2006. "011110010", # "1110110010", +D18.7-, [511,439]
  2007. "DEC8b10bERR", # "1110110011"
  2008. "011110100", # "1110110100", +D20.7-, [512,440]
  2009. "DEC8b10bERR", # "1110110101"
  2010. "DEC8b10bERR", # "1110110110"
  2011. "DEC8b10bERR", # "1110110111"
  2012. "DEC8b10bERR", # "1110111000"
  2013. "DEC8b10bERR", # "1110111001"
  2014. "DEC8b10bERR", # "1110111010"
  2015. "DEC8b10bERR", # "1110111011"
  2016. "DEC8b10bERR", # "1110111100"
  2017. "DEC8b10bERR", # "1110111101"
  2018. "DEC8b10bERR", # "1110111110"
  2019. "DEC8b10bERR", # "1110111111"
  2020. "DEC8b10bERR", # "1111000000"
  2021. "DEC8b10bERR", # "1111000001"
  2022. "DEC8b10bERR", # "1111000010"
  2023. "DEC8b10bERR", # "1111000011"
  2024. "DEC8b10bERR", # "1111000100"
  2025. "DEC8b10bERR", # "1111000101"
  2026. "DEC8b10bERR", # "1111000110"
  2027. "DEC8b10bERR", # "1111000111"
  2028. "DEC8b10bERR", # "1111001000"
  2029. "DEC8b10bERR", # "1111001001"
  2030. "DEC8b10bERR", # "1111001010"
  2031. "DEC8b10bERR", # "1111001011"
  2032. "DEC8b10bERR", # "1111001100"
  2033. "DEC8b10bERR", # "1111001101"
  2034. "DEC8b10bERR", # "1111001110"
  2035. "DEC8b10bERR", # "1111001111"
  2036. "DEC8b10bERR", # "1111010000"
  2037. "DEC8b10bERR", # "1111010001"
  2038. "DEC8b10bERR", # "1111010010"
  2039. "DEC8b10bERR", # "1111010011"
  2040. "DEC8b10bERR", # "1111010100"
  2041. "DEC8b10bERR", # "1111010101"
  2042. "DEC8b10bERR", # "1111010110"
  2043. "DEC8b10bERR", # "1111010111"
  2044. "DEC8b10bERR", # "1111011000"
  2045. "DEC8b10bERR", # "1111011001"
  2046. "DEC8b10bERR", # "1111011010"
  2047. "DEC8b10bERR", # "1111011011"
  2048. "DEC8b10bERR", # "1111011100"
  2049. "DEC8b10bERR", # "1111011101"
  2050. "DEC8b10bERR", # "1111011110"
  2051. "DEC8b10bERR", # "1111011111"
  2052. "DEC8b10bERR", # "1111100000"
  2053. "DEC8b10bERR", # "1111100001"
  2054. "DEC8b10bERR", # "1111100010"
  2055. "DEC8b10bERR", # "1111100011"
  2056. "DEC8b10bERR", # "1111100100"
  2057. "DEC8b10bERR", # "1111100101"
  2058. "DEC8b10bERR", # "1111100110"
  2059. "DEC8b10bERR", # "1111100111"
  2060. "DEC8b10bERR", # "1111101000"
  2061. "DEC8b10bERR", # "1111101001"
  2062. "DEC8b10bERR", # "1111101010"
  2063. "DEC8b10bERR", # "1111101011"
  2064. "DEC8b10bERR", # "1111101100"
  2065. "DEC8b10bERR", # "1111101101"
  2066. "DEC8b10bERR", # "1111101110"
  2067. "DEC8b10bERR", # "1111101111"
  2068. "DEC8b10bERR", # "1111110000"
  2069. "DEC8b10bERR", # "1111110001"
  2070. "DEC8b10bERR", # "1111110010"
  2071. "DEC8b10bERR", # "1111110011"
  2072. "DEC8b10bERR", # "1111110100"
  2073. "DEC8b10bERR", # "1111110101"
  2074. "DEC8b10bERR", # "1111110110"
  2075. "DEC8b10bERR", # "1111110111"
  2076. "DEC8b10bERR", # "1111111000"
  2077. "DEC8b10bERR", # "1111111001"
  2078. "DEC8b10bERR", # "1111111010"
  2079. "DEC8b10bERR", # "1111111011"
  2080. "DEC8b10bERR", # "1111111100"
  2081. "DEC8b10bERR", # "1111111101"
  2082. "DEC8b10bERR", # "1111111110"
  2083. "DEC8b10bERR" # "1111111111"
  2084. ]
  2085. def __init__(self):
  2086. self.__rd = 0
  2087. self.__syncd = False
  2088. self.__pendingdata = ''
  2089. @staticmethod
  2090. def _to10b(v):
  2091. '''convert integer to bits, in the correct order, which is
  2092. first (high) bit to be sent first.'''
  2093. binstr = bin(v)[2:]
  2094. return ('0' * (10 - len(binstr)) + binstr)[10:-11:-1]
  2095. def issyncd(self):
  2096. return self.__syncd
  2097. __syncre = re.compile('11000001..|00111110..')
  2098. def decode(self, bstr):
  2099. '''Decode bstr, a string of 0's, and 1's to bytes. This
  2100. consumes all the bits, and stores any excess bits if some are
  2101. missing.
  2102. Returns either None, or a byte string w/ the data.
  2103. If a control byte is received, it is returned by itself as an
  2104. instance of ControlSymbol.
  2105. Note that it needs to receive an EncDec8B10B.COMMA control byte
  2106. first to establish sync, and then will data after that.
  2107. '''
  2108. if set(bstr) - set('01'):
  2109. raise ValueError('invalid characters in: %s' % repr(bstr))
  2110. data = self.__pendingdata + bstr
  2111. if not self.__syncd:
  2112. m = self.__syncre.search(data)
  2113. if not m:
  2114. self.__pendingdata = data[-9:]
  2115. return
  2116. self.__syncd = True
  2117. data = data[m.start():]
  2118. r = bytearray()
  2119. for idx in range(0, len(data), 10):
  2120. i = data[idx:idx + 10]
  2121. if len(i) != 10:
  2122. self.__pendingdata = i
  2123. break
  2124. try:
  2125. ctrl, d = self.dec_8b10b(int(i[::-1], 2))
  2126. except Exception:
  2127. self.__syncd = False
  2128. self.__pendingdata = data[idx + 1:]
  2129. return
  2130. #print(repr(ctrl), repr(d), repr(r))
  2131. if ctrl and r:
  2132. self.__pendingdata = data[idx:]
  2133. return r
  2134. if ctrl:
  2135. self.__pendingdata = data[idx + 10:]
  2136. return ControlSymbol(d)
  2137. r += d.to_bytes(1, byteorder='big')
  2138. else:
  2139. self.__pendingdata = ''
  2140. if r:
  2141. return r
  2142. def encode(self, bstr):
  2143. '''Encode bstr into a string representing the binary (unicode
  2144. string represented by 0 and 1). The first bit to transmit is
  2145. at index 0, and so on.'''
  2146. r = []
  2147. rd = self.__rd
  2148. if isinstance(bstr, ControlSymbol):
  2149. rd, c = self.enc_8b10b(bstr, rd, ctrl=1)
  2150. r.append(c)
  2151. else:
  2152. for i in bstr:
  2153. rd, c = self.enc_8b10b(i, rd)
  2154. r.append(c)
  2155. self.__rd = rd
  2156. return ''.join(self._to10b(x) for x in r)
  2157. @staticmethod
  2158. def enc_8b10b(data_in, running_disparity, ctrl=0, verbose=False):
  2159. '''Encode a single byte to 10 bits.'''
  2160. assert data_in <= 0xFF, "Data in must be maximum one byte"
  2161. encoded = int(EncDec8B10B.enc_lookup[(
  2162. ctrl << 9) + (running_disparity << 8) + data_in], 2)
  2163. new_disparity = (encoded >> 10) & 1
  2164. encoded = encoded & 0x3FF
  2165. if verbose:
  2166. print("Encoder - In: {:02X} - Encoded: {:03X} - Running Disparity: {:01b}".format(data_in, encoded, new_disparity))
  2167. return new_disparity, encoded
  2168. @staticmethod
  2169. def dec_8b10b(data_in, verbose=False):
  2170. '''Decode 10 bits into a byte.'''
  2171. assert data_in <= 0x3FF, "Data in must be maximum 10 bits"
  2172. decoded = EncDec8B10B.dec_lookup[(data_in)]
  2173. if decoded == "DEC8b10bERR":
  2174. raise Exception(
  2175. "Input to 8B10B Decoder is not a 8B10B Encoded Word")
  2176. decoded = int(decoded, 2)
  2177. ctrl = (decoded >> 8) & 0x1
  2178. decoded = decoded & 0xFF
  2179. if verbose:
  2180. print("Decoded: {:02X} - Control: {:01b}".format(decoded, ctrl))
  2181. return ctrl, decoded